"Applied Science, Faculty of"@en . "Electrical and Computer Engineering, Department of"@en . "DSpace"@en . "UBCV"@en . "Ghodsian, Bahram"@en . "2009-02-24T19:35:20Z"@en . "1993"@en . "Master of Applied Science - MASc"@en . "University of British Columbia"@en . "This thesis is concerned with processing and modeling aspects of heterojunction bipolar\r\ntransistors (HBTs) with composite-collectors. HBTs with InGaAs/InP composite collectors\r\nwere designed, fabricated and measured. Their dc characteristics are compared with those\r\nof a device which was structurally similar but with a conventional n-InGaAs collector. The\r\nmeasured data for both devices can be well-described by an analytical model. The model\r\nindicates the need to ensure that the InGaAs layer in the composite collector exceed a critical\r\nthickness if the current gain is to be preserved. It is also shown that the spacer layer between\r\nthe emitter and base, which was used to prevent zinc diffusion into the emitter, must be\r\nconsidered in the model if the collector current is to be correctly predicted. The comparison\r\nof the experimental data also suggests that surface recombination is not a dominant base\r\nrecombination current in the devices studied."@en . "https://circle.library.ubc.ca/rest/handle/2429/4997?expand=metadata"@en . "2092357 bytes"@en . "application/pdf"@en . "Fabrication and Modeling of Composite-Collector Heterojunction Bipolar TransistorsByBahram GhodsianB.ENG. in Electronic & Electrical Engineering (Hons.)University of London (King\u00E2\u0080\u0099s College London), U.K. (1991)A THESIS SUBMITTED IN PARTIAL FULFILLMENT OFTHE REQUIREMENTS FOR THE DEGREE OFMASTER OF APPLIED SCIENCEinTHE FACULTY OF GRADUATE STUDIESDEPARTMENT OF ELECTRICAL ENGINEERINGWe accept this thesis as conformingto the re uired standardThe University of British ColumbiaNovember 1993\u00C2\u00A9 Bahram Ghodsian 1993In presenting this thesis in partial fulfilment of the requirements for an advanceddegree at the University of British Columbia, I agree that the Library shall make itfreely available for reference and study. I further agree that permission for extensivecopying of this thesis for scholarly purposes may be granted by the head of mydepartment or by his or her representatives, It is understood that copying orpublication of this thesis for financial gain shall not be allowed without my writtenpermission.(Signature)_______ELECTI\u00E2\u0080\u0099)CAL \u00C2\u00A3W.Department of____________________The University of British ColumbiaVancouver, CanadaDate____DE-6 (2/88)AbstractThis thesis is concerned with processing and modeling aspects of heterojunction bipolartransistors (HBTs) with composite-collectors. HBTs with InGaAs/InP composite collectorswere designed, fabricated and measured. Their dc characteristics are compared with thoseof a device which was structurally similar but with a conventional n-InGaAs collector. Themeasured data for both devices can be well-described by an analytical model. The modelindicates the need to ensure that the InGaAs layer in the composite collector exceed a criticalthickness if the current gain is to be preserved. It is also shown that the spacer layer betweenthe emitter and base, which was used to prevent zinc diffusion into the emitter, must beconsidered in the model if the collector current is to be correctly predicted. The comparisonof the experimental data also suggests that surface recombination is not a dominant baserecombination current in the devices studied.11ContentsAbstract iiList of Figures viList of Tables xiiiAcknowledgment xv1 Introduction 11.1 Background 11.2 Importance of the Composite-Collector HBT 41.3 Overview 52 Wafer Design Considerations for the Composite-CollectorHBT(CCHBT) 62.1 High Breakdown Voltage and High Speed in an HBT 72.2 HBT Structure 92.2.1 Emitter Configuration 92.2.2 Base Layer 112.2.3 Spacer (Base Setback layer) 122.2.4 Collector Configuration 153 Composite-Collector Heterojunction Bipolar Transistor(CCHBT) Analytical Model Development 253.1 Boundary Conditions for the E-B junction 253.2 Composite-Collector Heterojunction Bipolar TransistorModel 293.2.1 Base-Collector Junction 321113.33.43.4.13.4.23.4.33.4.43.4.53.4.63.4.73.4.83.4.9384142434344454647484.14.24.2.14.2.24.2.2.14.2.2.24.2.2.34.2.2.44.2.2.54.2.2.65.15.25.2.15.2.25.2.35.2.45.2.5for lnGaAs#2 and lnP#2Process for the Fabrication of DevicesScribing and Cleaning the WafersFabrication stepsSpinning the PhotoresistWet Chemical Etch for the Emitter MesaPhotoresist Stripping and CleaningWet Chemical Etch for the Base MesaWet Chemical Etch for the Collector MesaPattern for Metal Contact6565676772808690Recombination Current in HBTsMaterial Parameters of Ini_GaAsPi_.Ratio of x to y for lattice-matching to lnP .Bandgaps and Electron AffinityEffective MassesLow-Field Low-Doping Majority Carrier MobilityDoping Concentration Dependency of MobilityAuger Coefficients of Ini_o.47yGaAsyPi_yRadiative CoefficientDielectric ConstantsShockley-Read-Hall Lifetime4745Non-Self-Aligned Method for Device Fabrication 50SIMS Plots of wafer lnGaAs#1 and lnP#1 and Modifications50555556565757575858Results and DiscussionExperimental ProcedureDC CharacteristicsCommon-Emitter and Base CharacteristicsGummel PlotsParasitic Resistance MeasurementEmitter Contact GeometriesModel and Experimental Comparisoniv6 Conclusion and Recommendation for Future Research . 956.1 Conclusion 956.2 Recommendation for Future Research 96Bibliography 97VList of FiguresFigure 2.1 The basic structure of a composite-collector HBT 6Figure 2.2 Conduction band profile for a two-layer emitter atequilibrium 10Figure 2.3 Conduction band profile for a three-layer emitter atequilibrium 11Figure 2.4 Conduction band profile simulated by LUMIN at equilibrium.The setback layer lies between 0.3 and 0.3O8tm, the emitteris to the left and the base to the right 14Figure 2.5 Valence band profile simulated by LUMIN at equilibrium. Thesetback layer lies between 0.3 and 0.308m, the emitter is tothe left and the base to the right 14Figure 2.6 Calculated energy band profile for conventional collectordesign performed by LUMIN. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009Dcolumn in Table 2.1 for layer locations 19Figure 2.7 Calculated electric field profile for conventional collectordesign performed by LUMIN. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009Dcolumn in Table 2.1 for layer locations 19Figure 2.8 Calculated energy band profile for inverted field collectordesign performed by LUMIN. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009Dcolumn in Table 2.1 for layer locations 20Figure 2.9 Calculated electric field profile for inverted field collectordesign performed by LUMIN. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009Dcolumn in Table 2.1 for layer locations 20viFigure 2.10 Calculated energy band profile for launcher collector designperformed by LUMIN. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009D column inTable 2.1 for layer locations 21Figure 2.11 Calculated electric field profile for launcher collector designperformed by LUMIN. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009D column inTable 2.1 for layer locations 21Figure 2.12 Calculated energy band profile for undoped collectorperformed by LUMIN. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009D column inTable 2.1 for layer locations 22Figure 2.13 Calculated electric field profile for undoped collectorperformed by LUMIN. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009D column inTable 2.1 for layer locations 22Figure 2.14 Calculated energy band profile for composite collector designperformed by LUMIN. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009D column inTable 2.1 for layer locations 23Figure 2.15 Calculated electric field profile for composite collector designperformed by LUMIN. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009D column inTable 2.1 for layer locations 23Figure 3.16 Energy-band diagram of an ideal abrupt N-p heterojunction atthermal equilibrium 26Figure 3.17 Illustrating the conduction band profile and flow of electronsfrom the base to the collector under three conditions: (a)regular DHBT with no undoped lnGaAs layer, (b) CCHBTwith a narrow undoped lnGaAs layer, and (c) CCHBT with awide undoped lnGaAs layer 30Figure 3.18 Schematic illustration of HBT structure and the conductionband profile after including a lightly-doped layer in theCollector 32viiFigure 3.19 The plot of z.Ec as function of A for the CCHBT device forVCB=O 36Figure 3.20 The plot of I as function of A for the CCHBT device forVBE=O.4V and VCB=O 37Figure 3.21 The plot of \u00E2\u0080\u0098B as function of A for the CCHBT device forVBE=O.4V and VCB=O 37Figure 3.22 The various components of base current for an emitter areaof 40 x 40tm2 39Figure 3.23 The experimental data for majority carrier mobility in n andp-type lattice-matched Ini_o.47GaAsPi_, together withthe best fit curves as a function of arsenic composition. . . . 45Figure 3.24 The experimental data for hole and electron mobility inIn053Ga47As and InP as a function of doping concentration,together with the best fit curves 46Figure 4.25 The ln(113), As and Zn secondary ion count profiles forlnGaAs#1. The vertical dashed lines define the metallurgicalbase boundaries 51Figure 4.26 The ln(1 13), As and Zn secondary ion count profiles forlnP#1. The vertical dashed lines define the metallurgicalbase boundaries 51Figure 4.27 Calculated energy band profile, before and after outdiffusionof Zn as performed by LUMIN for lnP#1 based on results ofSIMS plot in Figure 4.26, and the original specification,respectively. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009D column in Table 2.1for layer locations 52viiiFigure 4.28 The ln(113), As and Zn secondary ion count profiles forlnGaAs#2. The vertical dashed lines define the metallurgicalbase boundaries 54Figure 4.29 The ln(113), As and Zn secondary ion count profiles forlnP#2. The vertical dashed lines define the metallurgicalbase boundaries 54Figure 4.30 The HBT fabrication sequence: (1) Spin the PR on wafer; (2)Pattern the PR and then develop it; (3) etch the emittermesa; (4) Spin, pattern, develop the PR and then etch thebase mesa; (5) Spin, pattern, develop the PR and then etchthe collector mesa; (6) Spin, pattern, develop the PR andthen evaporate Ti/Pt/Au 61Figure 4.31 Schematic diagram of the mask for the non-self-alignedHBT 62Figure 4.32 Optical micrograph of a non-self aligned HBT (emitter area of60 x 60 tm2). The mangification factor is 1044 62Figure 4.33 The SEM micrograph, showing the emitter mesa etch profile. . 63Figure 4.34 SEM micrograph before lift-off, showing the metal lip resultingfrom the chlorobenzene process 63Figure 4.35 SEM micrograph before lift-off, showing another view of thesame effect as shown in Figure 4.34 64Figure 5.36 Measured output characteristics of lnGaAs#2 and lnP#2 HBTfor an emitter area of 60 x 80im2. The base current starts at5pA and increases in steps of 20A 69Figure 5.37 Measured ouput characteristics of lnGaAs#1 HBT for anemitter area of 60 x 80irn2. The base current starts at 5pAand increases in steps of 20tA 69ixFigure 5.38 Magnified offset region of the measured output characteristicsshown in Figure 5.36. The base current starts at 51zA andincreases in steps of 20tA 70Figure 5.39 Measured reverse characteristics of lnP#2 HBT for an emitterarea of 60 x 80im2. The base current starts at 5tA andincreases in steps of 20tA 70Figure 5.40 Measured reverse mode Gummel plot of lnP#2 HBT for anemitter area of 60 x 80m2 71Figure 5.41 The measured common-base characteristics of InGaAs#2and lnP#2 HBTs for an emitter area of 40 x 40m2. Theemitter current starts at OA and increases in steps of 2mA. . 71Figure 5.42 The set up for devices, measured by HP4145B; (a) Gummelplots, (b) Common emitter I-V characteristics, (c) Commonbase I-V characteristics 72Figure 5.43 Non-alloyed Gummel plot (magnitude of current) of lnGaAs#2at Vcb=OV for emitter area 40 x 40m2 73Figure 5.44 Non-alloyed Gummel plots (magnitude of current) of InP#2 atVcb=3V for emitter area 40 x 40m2 73Figure 5.45 Non-alloyed Gummel plot (magnitude of current) of lnGaAs#1at Vcb=OV for emitter area 40 x 40m2 74Figure 5.46 The measured dc gain 3 versus the collector current inlnGaAs#1, lnGaAs#2 and InP#2 for an emitter area of40 x 40m2 79Figure 5.47 The measured small-signal gain hfe versus the collectorcurrent in lnGaAs#1, InGaAs#2 and lnP#2 for an emitter areaof 40 x 40im2 79xFigure 5.48 Transmission line pattern used to experimentally determinethe emitter, base and collector contact resistances. The areaof each pad is 60 x 80um2 and the separation between themstarts at 21um and increase in steps of 1im 80Figure 5.49 Equivalent resistor network representing the end effect andthe contact resistance 81Figure 5.50 Plot of total contact to contact resistance as a function of L toobtain transfer length and contact resistance values 82Figure 5.51 Resistance measurements data for the emitter contact (area= 60 x 80im2) 84Figure 5.52 Resistance measurements data for the base contact (area60 x 80im2) 84Figure 5.53 Resistance measurements data for the collector contact (area= 60 x 80tm2) 85Figure 5.54 The measured common-base Tc vs VCB characteristics oflnGaAs#2 HBT for the cases of alloyed and non-alloyedcontacts, for emitter area 40 x 40pm2. The emitter currentstarts at OA and increases in steps of 2mA 85Figure 5.55 Dependence of collector and base current density on theemitter length in lnGaAs#2 87Figure 5.56 Dependence of collector and base current density on the baseemitter separation for devices with emitter area =60 x 80rni2.. 88Figure 5.57 Dependence of collector and base current density on theemitter width 88Figure 5.58 Dependence of collector and base current density on theemitter area 89xiFigure 5.59 The dc current gain at Vbe = 0.4V vs emitter area to peripheryratio (In case of lnGaAs#2) 89Figure 5.60 Schematic illustration of the emitter-base junction energyband profile after including a lightly-doped layer between theemitter and base layers 91Figure 5.61 Comparison of experimental and analytical model data for theGummel Plot of lnGaAs#2 HBT (emitter area 40 x 40tm2)atVcb=OV. The effect of spacer and parasitic resistances arenot modeled 93Figure 5.62 Comparison of experimental and analytical model data for theGummel Plot of lnGaAs#2 HBT (emitter area 40 x 40,um2) atVcb=OV, taking into account the effect of the emitter-basespacer layer and parasitic resistances 93Figure 5.63 Comparison of experimental and analytical model data for theGummel Plot of lnP#2 HBT (emitter area 40 x 40tm2)atVcb=3.OV, taking into account the effect of the emitter-basespacer layer and parasitic resistances 94xiiList of TablesTable 2.1 Layer specification for the HBTs used in this work. The twocollector layers are further specified in Tables 2.2 and 2.3. . 16Table 2.2 Collector configuration of SHBT 24Table 2.3 Collector configuration of CCHBT 24Table 3.4 Lattice constants of the four binary compounds 42Table 3.5 Hole effective masses of the four binary compoundsemiconductors 44Table 3.6 Radiative coefficients of four binary compoundsemiconductors 47Table 3.7 Dielectric constant of four binary compound semiconductors48Table 3.8 Summary of material parameters used in the CCHBT model. . 49Table 5.9 The specification given by EPI for the lnGaAs#2 HBT 66Table 5.10 The specification given by EPI for the lnP#2 HBT 66Table 5.11 The collector and the base current ideality factors for thethree structures. The ideality factors were measured bytaking the average value at five different points on the linearregion of the Gummel plot 74Table 5.12 Summary of the resistance measurements of a non-alloyedcontact of lnGaAs#2 82xliiTable 5.13 Summary of the resistance measurements of an alloyed (attemperature 300\u00C2\u00B0C) contact of InGaAs#2 83Table 5.14 Summary of the resistance measurements of an alloyed (attemperature 350\u00C2\u00B0C) contact of InGaAs#2 83xivAcknowledgmentFirstly, I would like to thank my parents for their ultimate patience, support, understanding and encouragement throughout the years, specially during the course of this work.Secondly, I would like to express my sincere gratitude to my thesis supervisor ProfessorDave Puifrey, for his generous financial support and guidance during the course of thiswork. His enthusiasm and fresh insights have provided constant encouragement in my work.Very special thanks go to my co-supervisor, Dr. Sean McAlister, who is the leader of theDevice Physics group at the National Research Council, for invaluable assistance and helpfuldiscussions on HBT design and simulations, and for his efforts in purchasing wafers fromEPI1 in time for this work to be carried out. It has been a valuable experience to workwith him. A special note of thanks to other members of the Device Physics group, Dr.Zine-Eddine Abid for his continuous assistance in the cleanroom, Dr. Ross McKinnon foruse of his photolithography mask plate and Dr. Zhan-Ming Li for permitting me to use hisprogram LUMIN. There are a number of other people in the Microfabrication group at NRCto whom I am indepted for their technical assistance, in particular, Dr. Mike Davies forhis wet chemical etch recipe and the ohmic contact structure, Dr. Mahmoud Fallahi andDr. Margaret Buchanan for their photolithography process, Mr. Richard Barber for RIE,Mr. Philip Chow-Chong for wafer cleaning procedures, Mr Jeff Fraser for taking the SEMmicrographs, Mr. Stephen Rolfe for performing the SIMS analysis and Mr. Paul Marshallfor performing the metal deposition. Finally, I would like to thank my colleagues Mr. JunXiong Feng and Mr. Shawn Searles and all my friends in the Solid-State Electronics groupwithin the Department of Electrical Engineering at UBC for the discussions we have hadon HBTs and other matters.Epitaxial Product International Ltd., Cypress Drive, St. Mellons, Cardiff, U.K., CF3 OEG.xvTo my parents and my sisters.xviChapter 1Introduction1.1 BackgroundThe idea of having a wide bandgap material for the emitter goes back to the earlyyears of the transistor. The announcement of the first transistor was made in 1948 [1], andthe first-ever heterojunction device was proposed by Shockley in 1951 [2], and was laterdeveloped by Kroemer in 1957 [3], 1982 [4], 1983 [5]. Since then there have been a numberof attempts to make heterojunction devices. The first attempts were made by growing Ge onGaAs by a vapor deposition method [6] or Ge on Si by an alloying process [7]. However, thematerial that was made by these methods, using the primitive fabrication equipment of theday, had high dislocation densities, particulary at the interfaces, which ultimately restrictedthe usefulness of the device. There was not much development until the mid-70\u00E2\u0080\u0099s andthe emergence of two new crystal growth technologies, namely: MOCVD (Metal OrganicChemical Vapor Deposition)[8] and MBE (Molecular Beam Epitaxy)[9]. The emergenceof these new technologies not only improved the interface problem to some extent , butalso it marked the birth of a new branch of engineering which is now known as \u00E2\u0080\u009CBandgapEngineering\u00E2\u0080\u009D. It is the ability to manipulate the properties of individual layers during crystalgrowth which gives engineers the power to make new devices which would be unobtainableotherwise.Many new heterojunction electrical devices have emerged, among them are the HighElectron Mobility Transistor (I-IEMT) [10] and the Heterojunction Bipolar Transistor (HBT).In recent years the HBT has attracted much attention. One of the reasons for this suddeninterest is the fact that the HBT is much easier to fabricate than the FIEMT. In order tofabricate a high quality HEMT one needs to have access to electron beam lithography topattern the gate finger. Whereas for an HBT, although to have access to such equipmentwould be an advantage, it is not necessary. With optical lithography it is possible to makevery competitive devices.Another reason for the current popularity of HBTs is that they possess a number ofadvantages over conventional silicon Bipolar Junction Transistors (BJT), some of which arelisted below:1. One of the main advantages of a heterojunction is that the difference between the energybandgaps of the emitter and base layer can produce a different energy barrier for thetransport of electrons and holes across the emitter-base junction. This means that byhaving a higher hole barrier in the valence band, one can confine the holes to the base.This leads to a suppression of the reverse injection current from the base to the emitter.This greatly enhances the emitter injection efficiency and ultimately the gain.2. Due to the fact stated above, a high base doping concentration can be tolerated, whichis essential in order to reduce the resistance of the thin base layer (as required forhigh fmaz). The emitter doping can also be reduced, which consequently reduces thedepletion-region capacitance of the emitter-base junction. These measures will improvethe emitter charging time (as required for high ft).3. It is also possible to use a rn-v semiconductor compound with high electron mobilityin the base. This means faster transit across the base, which will improve the frequency2response of the device.These advantages can only be achieved by use of an appropriate material system forthe HBT. There are a few of them to choose from. The one which is used in our modeland in fabricated devices is the lattice-matched combination of JnP/Ino53Gao47As. Unlessotherwise stated, from now on in this thesis In0\u00E2\u0080\u00A253Ga47As will be referred to simply asIriGaAs.Although the bulk of HBT research for the past ten years has been focused on theA1GaAs/GaAs material system, there has been growing interest in structures composedof indium materials. These structures are strong challengers for high speed and optoelectronic applications [11,12]. Material systems such as A1o.481n0.52s/Ino.3Ga7sandInP/InGaAs are very suited to HBT technology, because they take advantage of the veryhigh electron mobility of InGaAs (11000 cm2/V-s) as compared to GaAs (8500 cm2IV-s).The use of an InGaAs base is additionally attractive for applications in long wavelength fiberoptic communication systems since the energy bandgap is well matched to the 1.3\u00E2\u0080\u00941.6tmspectral range of contemporary low-loss and low-dispersion silicon fibers [13]. Recent descriptions of InP/InGaAs ITBTs reported cut-off frequencies, ft, as high as 110GHz [14] andsmall-signal current gain, life, as large as 24,000 [15]. AlInAs/InGaAs is equally impressive, with ft of 78GHz [16] and hfe of 1500 [17]. These performance figures are especiallyimpressive in light of the relative immaturity of both the process technology and devicemodels for these systems.To summarize some of the important advantages of the InP/InGaAs system (from a devicepoint of view) which have become very apparent, we note:31. The InP/InGaAs material system has a larger valence band discontinuity (LE 0.34eV)[18] at the emitter-base junction, as opposed to the AIGaAs/GaAs (0.l5eV)[18] orAlTnAs/InGaAs (0.24eV) [18] systems.2. There is a larger energy separation between the F-L valleys for both InP and InGaAs(0.60eV, 0.56eV respectively) [19] as compared to A1GaAs and GaAs (0.33eV, 0.30eVrespectively) [191. This, of course, means that carriers can be kept in the high-mobilityF-valley for larger distances, so improving the device\u00E2\u0080\u0099s speed.3. GaAs suffers from a high surface recombination velocity (106 cms\u00E2\u0080\u0099 vs i03 cms\u00E2\u0080\u0099 inInGaAs) as evidenced by the dependence of 3 on the emitter perimeter/area (P/A) ratio.This becomes an important factor when trying to maintain a high current gain on scalingdown devices below the submicron level [201.4. Extremely low contact resistance, which is required for high-speed devices, can beachieved on InGaAs. This is often difficult to do on GaAs and AIGaAs[21].1.2 Importance of the Composite-Collector HBTThe most important disadvantage of InP-based single heteroj unction bipolar transistors(SHBT) is the narrow bandgap material (InGaAs) in the collector. Because of the narrowbandgap of InGaAs (0.75eV), there will be a high impact ionization rate, which resultsin a low breakdown voltage. The low breakdown voltage can be improved by replacingthe InGaAs with wider bandgap material such as InP (1.35eV), as in the case of doubleheterojunction bipolar transistors (DHBT). But the disadvantage of this arrangement is thefact that there is a conduction band off-set at the base-collector junction which can causeelectrons to be reflected back into the base and contribute to the base recombination. This4ultimately lowers the device gain. By arranging the collector to be composed of two layers(InGaAs and InP), it is possible to make sure the impact ionization takes place in the widerbandgap material (InP) and at the same time the conduction band off-set does not limitthe flow of electrons from the base to the collector. This is the essence of the composite-collector HBT (CCHBT).1.3 OverviewIn Chapter 1, we have briefly stated the general advantages of the Hi3T over the BJT.The advantages of the CCHBT, especially for power applications, and the preferred materialsystem have been identified. In Chapter 2, the design considerations for the CCHBT arediscussed and the wafer specifications for the first fabrication attempt are presented. InChapter 3, the model for analysis of the CCHBT is presented in detail. In Chapter 4, thegrowth problem encountered in the first run and the modification for the second fabricationrun is discussed. The fabrication procedure of the HBTs is also described in this chapter.In Chapter 5, the experimental measurements made on the devices are presented and theexperimental data are compared with theoretical values calculated from our model. Finally,conclusions and recommendations are presented in Chapter 6.5Chapter 2Wafer Design Considerations for theComposite-Collector HBT (CCHBT)The prime step toward the realization of any ITT-V compound semiconductor device is thedesign of the wafer. The selection of the HBT layers imposes an intrinsic limit on the waythe device is going to perform in a practical situation. In this crucial step the objective is toselect the appropriate values for the thicknesses and doping concentrations of the layers and,in addition, the materials for the layers. Furthermore, the way the actual device characteristicsagree with the intrinsic behavior depends on both the HBT\u00E2\u0080\u0099s layers and the technology usedin their production. In this chapter we address some of the important issues that have to beconsidered very carefully for optimizing the HBT structure for a particular application. Thebasic layer structure for the HBT is shown in Figure 2.1.L(((((((((((cci.(((((((((((C(cX(((cxcccccEmitter CapIEmitter CapilEmitterSpacer LayerBaseCollector I__________________________________Etch S LayerCollector IISuboollectorSubstrateFigure 2.1 The basic structure of a composite-collector HBT.6The ultimate aim of UBC\u00E2\u0080\u0099s InP/InGaAs project is to integrate HBTs with a semiconductorlaser on a semi-insulating InP (S.I. InP) substrate. Although we are not concerned with theactual integration of devices in this thesis, it has to be kept in mind when optimizing theHBT structure. This leads to a number of contradictory requirements that have to be metby the HBT, e.g.:\u00E2\u0080\u00A2 The HBT has to have relatively high speed to be able to modulate the laser.\u00E2\u0080\u00A2 It has to have sufficient gain to drive the laser.\u00E2\u0080\u00A2 It has to have a high breakdown voltage to allow a wide operating range.2.1 High Breakdown Voltage and High Speed in an HBTThe basic requirement for a high-speed n-p-n HBT is to reduce the overall transit timetaken for electrons moving from the emitter to the collector. Two major figures of meritwhich are used widely to measure high-speed response of an HBT are the current gain cutoff frequency (fe) and the maximum frequency of ocscillation (fmaz), which are expressedas follows [22]:1 (1)27r(TE + TB + TSCR + Tc)frnax=(2)where-r is the emitter-base charging time, TB is the base transit time, TscR is the transittime across the collector space charge region (SCR), \u00E2\u0080\u0098i-c is the collector charging time, RBis the base resistance and Cc is the base-collector junction capacitance.As we can see from (1) the total time taken to travel from emitter to collector consistsof four major individual components. For instance, the base transit time can be reduced by7just reducing the base layer thickness. But the reduction in the base thickness is going toincrease the base resistance which degrades fmax. This is because the time delay associatedwith charging the base-collector capacitance depends on the base resistance. But no matterhow small the base transit time is, there is not going to be a major reduction in the totaltransit time, unless there is an equal attempt to reduce all other components which contributeto the total time delay. One of the main limitations for HBTs is the collector transport. Therehas been a great deal of interest in recent years to modify the traditional n\u00E2\u0080\u0094 collector in orderto achieve the optimum design for the collector configuration [23,241.One can, of course, simply reduce the thickness of the collector-base space charge region (SCR) to improve the carrier transit time across the collector. In practice, however, thecollector-base SCR thickness is limited by the base-collector breakdown voltage. The breakdown voltage is inversely proportional to the collector doping concentration and proportionalto the square of the collector-base SCR thickness. Therefore it is relatively common to use alow-doped collector layer. However low collector doping concentration is not very desirable,because it results in base-push-out effects at large current levels, and the resulting wide SCRincreases the distance that electrons have to travel. Therefore a low doping concentration willdecrease the device speed. The actual collector thickness, as opposed to the SCR thickness,must also be large so that the resistance (Re) of the collector is kept low. This resistanceappears in the collector capacitance charging time constant (Tc = RC).82.2 HBT Structure2.2.1 Emitter ConfigurationAs we have mentioned earlier, one of the components of the cut-off frequency (ft) isthe emitter charging time \u00E2\u0080\u0098re. The idea behind designing the emitter is to keep this value aslow as possible and at the same time maintain a sufficiently high gain. This charging timebasically depends on the time delay associated with the emitter and collector capacitanceswhich are being charged through the emitter dynamic resistance, i.e.TE rC (3)where C = CEJ + CCJ i.e., the sum of the two junction capacitances. To be accurate theemitter series resistance should also be included, thus the emitter charging time becomes [25]r(Cj + Cj) + RECCJ (4)whereRE Emitter resistance.CEJ Emitter junction capacitance.Cj Collector junction capacitance.re Emitter-base junction dynamic resistance.In our case RE is the resistance of the undepleted portion of the InP emitter layer,plus the resistances of the emitter caps and the metal contact. The large bandgap of InP( 1.35eV) means that contacts will have a high ohmic resistance, unless the emitter layeris doped to such an extent that the electrons can easily tunnel through the barrier between9the metal and the InP layer. Because of the large bandgap, the doping concentration of theemitter has to be very high (of the order of 1 x 109cm3 [26, on p. 220]) before there isa significant tunneling effect. In practice, a high doping concentration is not desirable sinceit creates a large capacitance at the E-B junction, which slows down the device.Hence to over come this obstacle, we have to design a multi-layer emitter with two orthree layers. The layer under the metal contact has to be InGaAs because it has a small bandgap ( 0.75eV), and, by making the doping concentration high enough, the metal placedin contact with the surface will result in an ohmic contact. Once we try to grow this layerEfFigure 2.2 Conduction band profile for a two-layer emitter at equilibrium.on top of a moderately-doped layer of InP, there will be a barrier to the flow of electrons,as shown in Figure 2.2. Again this barrier creates an unwanted resistance. The solution tothis problem, which is often implemented in practice, is to insert between these two layersa third layer which has the same high doping concentration as the InGaAs layer, with theresult as shown in Figure 2.3. The values of doping concentration and thickness for each---flt InGaAs N-InP10layer used in this work were chosen by combining features from earlier devices [27,281.They appear in Table 2.1.2.2.2 Base LayerFigure 2.3 Conduction band profile for a three-layer emitter at equilibrium.w2TB =2DB- -+n - InGaAs N-InP N-InPAs far as the base layer is concerned there are two things that have to be compromised,i.e., the base transit time (TB) and the base resistance (RB).The time taken for electrons to travel the quasi-neutral base region can be calculated fromthe linear distribution of the excess electron density tapering to zero at the base-collectordepletion region boundary. TB is given by [25](5)whereW Width of the quasi-neutral base layer.DB Diffusion coefficient of minority-carrier electrons in the base.11This implies that the shorter the base width, the faster the carriers traverse the base. But thethinner the base width the higher the resistance of the base layer. The base resistance RB inan n-p-n bipolar transistor may be reduced by increasing the p-type impurity level, becausethe decrease in majority carrier mobility, which opposes the direct effect of the increaseddoping level, is sublinear at high doping levels. The resultant reduction in charging timeconstant RBC is important for applications in high-speed electronics. In fact, base resistanceis a critical issue in microwave bipolar transistors and was the motivation for the originalheterojunction bipolar transistor (HBT) concept, in which the base doping could be increasedsomewhat without decreasing current gain /3. Recent work has shown that growth of highquality layers of InGaAs lattice-matched to InP with p-type doping 1020cm3 is possible[29,30]. The quality of these layers depends on the technology used to grow the layers andon the choice of p-type impurity atoms. In practice there are only three types of p-typedopant available; they are beryllium (Be), carbon (C) and zinc (Zn).2.2.3 Spacer (Base Setback layer)For high-quality crystal growth, and for a well-defined device structure, a precise controlover the diffusion of dopants during growth is needed. Usually, Si and Zn are used as n- andp-type dopants, respectively in the MOCVD method [31], which is the growth technologyused in this work. It is known that the diffusion coefficients of Zn are quite high in bothInP and InGaAs, especially at high doping concentrations and temperature [32]. Thus zinctends to diffuse really deeply into the adjacent layers [33]. In an HBT structure, the shift ofthe pn junction position into the InP layer due to significant Zn diffusion leads to reductionin the emitter injection efficiency, and ultimately to a reduction in the current gain of the12device. The thickness of the spacer layer which absorbs this Zn diffusion is one of the mostimportant design features in the structure of the HBT and it can mean the difference betweena good and bad device, as we will see in the next chapter.Figures 2.4 and 2.5 illustrate the state of the conduction and the valence band respectively,in three typical situations, as simulated using LUMIN2. The dashed line illustrates thepreferred situation, where some diffusion has taken place in the spacer layer, but the diffusionhas stopped at the interface between the wide and narrow bandgap material (E-B junction).This results in an abrupt junction. If little or no out-diffusion occurs (solid line), the undopedspacer increases the device base resistance and also probably allows more recombination tooccur via electrons trapped in the notch or well in the conduction band. On the other hand ifthere is diffusion through the spacer and into the emitter (dotted line in Figures 2.4 and 2.5),the movement of the junction into the emitter would drastically reduce the emitter injectionefficiency, since the emitter junction would be formed in the wider-gap emitter layer andthe base would contain a P-pt heteroj unction. The undesirable effect is that the asymmetryin the barrier height is removed, i.e., both electrons and holes see the same barrier. This issomething which has to be avoided by all means, because it prevents the base layer frombeing highly doped. Therefore the thickness of the spacer layer has to be right. If it istoo thick there will be some undoped layer after out-diffusion from the base and if it is toothin the valence band offset will disappear. Since the wafers were purchased from EPI, wefollowed their suggestion of a thickness of O.O8m for a base doping of 1.5 x 109cm3.2 LUMIN is a 2\u00E2\u0080\u0094D drift-diffusion model, developed at NRC, that solves Possion\u00E2\u0080\u0099 s equation self-consistently with the continuityequation.13ci)>c,)ci)w0C-)ci)wci)>c,)ci)wC.0ci)wFigure 2.5 Valence band profile simulated by LUMIN at equilibrium. The setback layer lies between 0.3 and 0.308#m,the emitter is to the left and the base to the right.1.2-1.0-0.80.60.4-0.2-0.0- \u00E2\u0080\u0094-0.2-0.20,//_With No Diffusion in Spacer\u00E2\u0080\u0094-\u00E2\u0080\u0094 With Some Diffusion in Spacer/With Diffusion Beyond the Spacer/0.22 0.24 0.26 0.28Depth (jim)\u00C3\u0094.3dFigure 2.4 Conduction band proffle simulated by LUMIN at equilibrium. The setback layer lies between 0.3 and 0.308gm,the emitter is to the left and the base to the right.-0.1-0.3-0.5--0.7--0.9-\u00E2\u0080\u00941.1 --1.3--1.5- \u00E2\u0080\u00940.20With No Diffusion in Spacer\u00E2\u0080\u0094 \u00E2\u0080\u0094\u00E2\u0080\u0094 With Some Diffusion in Spacer ..\u00E2\u0080\u0098 \u00E2\u0080\u0098..\u00E2\u0080\u0098 /With Diffusion Beyond Spacer..\u00E2\u0080\u009D///0.22 0.24 0.26 0.28 0.30 0.32Depth [jim]142.2.4 Collector ConfigurationHere we discuss the design of a collector configuration that will give a high breakdownvoltage and at the same time keep the SCR transit time and collector charging time toa minimum. In order to compare a few known configurations, the thickness, doping andconfiguration of emitter and base were kept the same for all designs. All the collectorconfigurations used for simulation had a thickness of 3450A and were either doped at1 x 106cm3or undoped. Because the collector layer is usually undoped or lightly doped,it is not possible to make a good ohmic contact directly to it. The usual method is to use asubcollector layer which is thick and highly doped. This method allows good ohmic contact tobe made to the collector. The thickness and doping of the layers are shown in Table 2.1. Thereare two important mechanisms of breakdown in silicon bipolar junction transistors, namely:punchthrough breakdown and avalanche breakdown. Punchthrough breakdown occurs whenthe reverse-bias collector-base voltage becomes so large that the collector-base depletionregion merges with the emitter-base depletion region. This particular mechanism is veryunlikely to occur in HBTs simply because the base layer is so highly doped that almostthe entire depletion-region width exists on the collector side of the junction. Therefore thedominant breakdown mechanism is avalanche breakdown.When the reverse bias voltage applied to the collector-base junction exceeds some criticalvalue, the reverse current rises rapidly with further increase in the applied reverse bias voltage.This rapid current growth or avalanche is caused by an impact ionization process. During thisprocess an electron (or hole) gains so much energy from the electric field in the collector-basedepletion region that, on colliding with a lattice atom, it can excite another electron from15the valence band into the conduction band. Newly-created carriers are in turn acceleratedby the electric field and create new electron-hole pairs via impact ionization. The actualbreakdown voltage of the junction is defined as the voltage at which the total number ofcarriers generated as result of this process leads to a large specified current.Layer Material Thickness(A) Cumu. Depth (gm) Doping(cm1)Emitter CapI n+ InGaAs 1500 0.150 1.0 x 109cm3Emitter Capil N+ IflP 500 0.200 1.0 x 109cm3Emitter N InP 1000 0.300 1.0 x 10\u00E2\u0080\u00998cm3Spacer InGaAs 80 0.308 UIDBase p+ InGaAs 500 0.358 1.5 x 109cm3Collector I x 450 0.403 xCollector II x 3000 0.703 xEtch Stop InP 100 0.713 1.0 x 109cm3Subcollector InGa.As 4000 1.113 2.0 x 109cm3Substrate S.I. InP 35Oitm \u00E2\u0080\u0094 \u00E2\u0080\u0094Table 2.1 Layer specification for the HBTs used in this work. The two collector layers are further specified in Tables2.2 and 2.3.The problem with the conventional collector design is that because there is a large electricfield at the interface between the base region and the collector\u00E2\u0080\u0099s SCR (see Figures 2.6 and2.7), electrons enter this region with an excess energy. The excess energy of the incomingelectrons together with the rate at which their energy increases are two of the most importantreasons that lead to a poor breakdown voltage in an HBT. For the purpose of this section,we have compared the electric field profile of other known collector designs to see whichhas the potential for high breakdown voltage. For simplicity, the simulation was performed16with a one-dimensional drift-diffusion model, LUMIN, which gave the band and electricfield profiles across the entire structure. Although LUM1N is not capable of predicting thebreakdown voltage caused by impact ionization, by examining the electric field profile inthe SCR region of each design, it is possible to conclude which design will have the highestbreakdown voltage. The collector structures that were considered are as follows:A. Conventional Collector (nInGaAs).B. Inverted Field Collector (pInGaAs).C. Launcher (pn InGaAs).D. Undoped Collector (U/D InGaAs).In the case of the inverted field collector [34,35] (Figures 2.8 and 2.9), a small electricfield is created at the base-collector junction, so that the carriers enter the SCR with a lowerenergy than in the conventional design and travel deep into the region before they actuallyexperience a gradual increase in the electric field. This design will improve the breakdownvoltage. In the case of the launcher design [34,35] shown in Figures 2.10 and 2.11, there is asudden increase in the carrier\u00E2\u0080\u0099s energy as they enter the SCR from the base region which isnot any better than in the conventional design. The undoped collector (Figures 2.12 and 2.13)creates a low electric field at the base-collector junction and the magnitude of the electricfield stays constant all the way across the SCR.Although the undoped collector has the potential for high breakdown voltage, it does notnecessarily lead to the highest breakdown voltage that is possible for the InP/InGaAs materialsystem. A low electric field in the SCR is one factor which can improve the breakdownvoltage, but it is also possible to improve it by replacing the collector with a large bandgap17material like InP for which the impact ionization rate is much smaller than InGaAs. Thedisadvantage of having the conduction band offset at the base-collector is that it limits theflow of carriers across the junction. The carriers that do not have enough energy to overcomethe barrier are going to recombine and result in an increase in the base current and a reductionof the DC gain as compared to a single heterojunction bipolar transistor (SHBT). Althoughdouble heterojunction bipolar transistors (DHBT) with high gain and large current-handlingcapabilities have been demonstrated [36,37], it is a common belief that such structures areinherently slower than SHBTs [36]. It has been shown that by inserting a delta-doped(thickness of 30A) region at the base-collector region of InPIInGaAs DHBTs, the effectivebarrier height at the base-collector interface can be reduced [38]. Again this can improve thelow gain of DHBTs, but at the expense of lowering the breakdown voltage.There has been a lot of interest in composite-collector HBTs (CCHBTs) lately [39\u00E2\u0080\u009443].This sudden interest comes from the fact that by having a composite-collector one can retainthe advantages of both the SHBT (high gain) and the DHBT (high breakdown voltage). Theenergy band profile and the electric field of such devices have been calculated by LUMIN andare shown in Figures 2.14 and 2.15. The collector is composed of two layers: an undopedlayer of InGaAs and a relatively thick layer of undoped InP. In such a configuration it israther important to get the thickness of undoped InGaAs layer correct. If this undoped layeris too thick the carriers that are traveling through it will gain enough energy to initiate impactionization in the InGaAs layer, whereas the aim of the composite collector is to have impactionization take place in the InP layer. If the thickness is too small the collection efficiency isdegraded. The electrons are reflected back into the base to increase the bulk recombination18At EqulibriumLow-Level InjectionElectron Quasi-Fermi LevelHole Quasi-Fermi Level0.2 0.4Depth [rim]Figure 2.6 Calculated energy band profile for conventional collector design performed by LUMIN. Refer to \u00E2\u0080\u009CCumulativeDepth\u00E2\u0080\u009D column in Table 2.1 for layer locations.EC)>-00a)LIC)\u00E2\u0080\u00A210a)wFigure 2.7 Calculated electric field profile for conventional collector design performed by LUMIN. Refer to \u00E2\u0080\u009CCumulativeDepth\u00E2\u0080\u009D column in Table 2.1 for layer locations.>a)>9ci)CwC0.10ci)w1.0-0.0-1.0-2.0--3.0-\u00E2\u0080\u00940.0 0.6 0.8 1.0Depth [jim]19>C)>ci)w.C)C)w1.00.0-1.0-2.0-3.0 \u00E2\u0080\u00940.0At EquilibriumLow-Level InjectionElectron Quasi-Fermi LevelHole Quasi-Fermi Level0.60.2 0.4 0.8 1.0Depth [tim]Figure 2.8 Calculated energy band profile for inverted field collector design performed by LUMEN. Refer to \u00E2\u0080\u009CCumulativeDepth\u00E2\u0080\u009D column in Table 2.1 for layer locations.2C)001ci)U-C).1C)ci)wFigure 2.9 Calculated electric field profile for inverted field collector design performed by LUMIN. Refer to \u00E2\u0080\u009CCumulativeDepth\u00E2\u0080\u009D column in Table 2.1 for layer locations.Depth [urn]20Depth [j.tm]Figure 2.10 Calculated energy band profile for launcher collector design performed by LUIvIIN. Refer to \u00E2\u0080\u009CCumulativeDepth\u00E2\u0080\u009D column in Table 2.1 for layer locations.EC)00ci)LL04-01)w1.0\u00E2\u0080\u00A20.0-1.0-2.0>ci)>ci)w2U)wAt EquilibriumLow-Level InjectionElectron Quasi-Fermi Level\u00E2\u0080\u0094\u00E2\u0080\u0094 Hole Quasi-Fermi Level-3.0 I0.0 0.2 0.4 0.6 0.8 1.0Depth [pPm]Figure 2.11 Calculated electric field profile for launcher collector design performed by LUMIN. Refer to \u00E2\u0080\u009CCumulativeDepth\u00E2\u0080\u009D column in Table 2.1 for layer locations.21>ci)>ci)w0.10ci)wDepth [jim]Figure 2.12 Calculated energy band profile for undoped collector performed by LUMIN. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009Dcolumn in Table 2.1 for layer locations.1.0-0.0-1.0-3.0-\u00E2\u0080\u00940.0At EquilibriumLow-Level Injection\u00E2\u0080\u0094 \u00E2\u0080\u0094\u00E2\u0080\u0094 Electron Quasi-Fermi Level\u00E2\u0080\u0094\u00E2\u0080\u0094 Hole Quasi-Fermi Level0.2 0.4 0.6 0.8 1.02C)>C0ci)U-C.).10C)wFigure 2.13 Calculated electric field profile for undoped collector performed by LUMIN. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009Dcolumn in Table 2.1 for layer locations.Depth [jim]221.0>>U)CwCC)ci)wDepth [jim]Figure 2.14 Calculated energy band profile for composite collector design performed by LUMIN. Refer to \u00E2\u0080\u009CCumulativeDepth\u00E2\u0080\u009D column in Table 2.1 for layer locations.0.4 0.6 0.8 1.0Depth [jim]Figure 2.15 Calculated electric field profile for composite collector design performed by LUMIN. Refer to \u00E2\u0080\u009CCumulativeDepth\u00E2\u0080\u009D column in Table 2.1 for layer locations.0.0-1.0-2.0-3.00.0 0.2 0.4 0.6 0.8 1.0E0007--ciLL0ci)w6.04.0-2.0-0.0-2.0-4.0-\u00E2\u0080\u00940.0At EquilibriumLow-Level InjectionV0.223which ultimately means a poor gain for the device.The first devices of this kind were fabricated and tested by Feygenson et al. [39]. Theystudied the importance of the thickness of the undoped InGaAs layer, by varying it fromlOnm to l6Onm. For layer thicknesses less than 4Onm poor collector efficiencies wereobserved, whereas for thicker layers the collector breakdown voltage was reduced. For4Onm thick InGaAs and a 500nm InP layer, they obtained a breakdown voltage of morethan 1OV with ft and frnax of 64 and 34 GHz, respectively [391. Taking these results intoconsideration, the chosen thicknesses of these layers are presented in Tables 2.2 and 2.3. Thereason that 45rim was chosen is to allow for any possible out-diffusion from the base andwe reduced the 500nm to 300nm to improve the speed.For the purpose of this thesis we fabricated a SHBT and CCHBT to compare theircharacteristics, as described in the following chapters.Material Layer Thickness (A) Doping (cm3)Collector I InGaAs 450 UIDCollector II InGaAs 3000 UIDTable 2.2 Collector configuration of SHBT.Material Layer Thickness (A) Doping (cm3)Collector I InGaAs 450 U/DCollector II InP 3000 U/DTable 2.3 Collector configuration of CCHBT.24Chapter 3Composite-Collector Heterojunction BipolarTransistor (CCHBT) Analytical Model DevelopmentIn this chapter we develop an analytical model for the composite-collector HBT(CCHBT). In recent years there has been a number of analytical models which predict theelectrical characteristics of single [44,45] and double [46] heterojunction bipolar transistors.But, as has already been discussed in previous chapters, the advantages of the two structurescan be maintained by using a composite-collector structure. For this reason there is a needfor an analytical model that can describe the behavior of the CCHBT.3.1 Boundary Conditions for the E-B junctionTo evaluate the currents in an HBT, the excess carrier densities in the base must beknown. The usual boundary condition at the base edge of the emitter-base SCR which isapplicable to a homojunction cannot be applied to a heterojunction. This is because the largeband spike leads to a violation of quasi-neutrality and also of the assumption of a constantelectron quasi-Fermi level across the SCR in an npn device [47]. Instead there is a splitin the quasi-Fermi level [47]. Under these circumstances, the excess carrier concentrationat the edge of the base-emitter SCR can be computed by a current balancing technique inwhich the thermionic-tunneling current across the junction is equated to the drift-diffusioncurrent in the base [45].The electrostatics and the current transport at the base-emitter junction are unaffectedby the nature of the collector. The equations for current transport across a heterojunction25(6)(7)have been derived elsewhere [25,48]. Figure 3.16 shows the schematic energy band diagramof a CCHBT in a non-equilibrium situation, where there is a wider bandgap material in theemitter and collector. By solving Poisson\u00E2\u0080\u0099s equation on either side of the E-B interface, anexpression for the depletion region width on either side of the junction as a function of thethe total potential can be obtained. The assumption is that there are no mobile carriers in thedepletion regions. The potential across the depletion regions is then given byJi J2XflE XpB Xp XFigure 3.16 Energy-band diagram of an ideal abrupt N-p heterojunction at thermal equilibrium.V(x)=_-Y(\u00E2\u0080\u0099_+xflEx) for XnEci)C)Cw0.100.000.0Figure 3.19 The plot of Ec as function of A for the CCHBT device for VCB=O.barrier seen by the electrons (/Ec) is decreased. The expression for /Ec as a functionof A follows from Figure 3.16 and equations (29, 30)ZE- q(VT - V3)Ecc_q((+xpA\u00E2\u0080\u0099 _iYA2\u00E2\u0080\u0099 (38)j 2 jwhere/Ec is the conduction band offset at the base-collector junction.Plots of /Ec,Ic, and \u00E2\u0080\u0098B vs A are shown in Figures 3.19, 3.20 and 3.21. The emitterarea, used to convert J and JB to current was 40 x 40tm2. To be consistent with thephysics of the situation and the implementation of this relationship, the minimum value ofZEc is set to zero. It can be seen that a spacer thickness of at least 700A is needed if theeffect of the conduction band spike on the current characteristics is to be eliminated.[A}360.030SHBTDHBT0.000 I I I I0.0 200.0 400.0 600.0 800.0Figure 3.20 The plot of 1c as function of A for the CCHBT device for VBE=O.4V and VCB=O.1.8-DHBT0.0 200.0 400.0 600.0 800.02 [A]Figure 3.21 The plot of \u00E2\u0080\u0098B as function of A for the CCHBT device for VBE=O.4 and VCB=O.373.3 Recombination Current in HBTsIn this section we compute all components of the base current density JB in anInP/InGaAs ITBT for a wide range of bias conditions and various device parameters. Theresulting information should be useful in determining the relative importance of the JBcomponents and in accurately estimating the base current for predicting /3 in the fabricatedHBTs. Recombination current can be divided into two main components: recombinationcurrent in the quasi-neutral base (JQNB), recombination current in the emitter-base SCR(JSCR). It has been assumed that the surface recombination current, the recombinationcurrent due to interface states at the E-B and the reverse injected current into the emitterare small and can be ignored. There are three type of recombination processes which areimportant in the device: Shocldey-Read-Hall, Auger and radiative. It has recently beenconfirmed [50] that the quasi-Fermi level splitting (/.Ef) at the emitter-base junction hasto be calculated and be included into the diode expressions of the base-side recombinationcurrents to avoid overestimating the gain of the device. As shown by Searles and Pulfrey[511, these currents can be written asNeni, LE \u00E2\u0080\u0094 NratVbi NratVBE\u00E2\u0080\u0094JSRH,B Cs exp q exp qkT kT_______BEJSRH,E Cs exp q\u00E2\u0080\u00942.Irorpo 2kT2 VBE\u00E2\u0080\u0094/EfJAtig,B Csn:&CpONb exp q kT (40)2 VBEJAug,E CsnjCnoNeexp q-38o1 0 Collector Current- -- Quasi-Neutral Base\u00E2\u0080\u0094\u00E2\u0080\u0094 SRH Current in the E-B junction-210 [E\u00E2\u0080\u0094E]Auger Current in the E-B Junction\u00E2\u0080\u0094 )I( )< Radiative Current in the E-B Junction Z -\u00E2\u0080\u0098TotalCurrent s,-\u00E2\u0080\u00991010Base-Emitter Voltage [V]Figure 3.22 The various components of base current for an emitter area of 40 x 40pm2.2 qV2 VBE \u00E2\u0080\u0094JRad,B CsnB(l \u00E2\u0080\u0094 Nrat)1exp q kT (41)2 qV2 VBERad,E CsnieBNratexp q-whereTnO and \u00E2\u0080\u0098rpo are the Shockley-Read-Hall carrier lifetimes andCs = kTq(1Nrat)VbjN \u00E2\u0080\u0094 Nb (42)ra\u00E2\u0080\u0094 Nb + NezE = + EEwhereLSE is the discontinuity in the intrinsic Fermi level at the interface, /.1Eg is the differencebetween the bandgaps in the emitter and the base, and is the permittivity. The Auger39and radiative coefficients, together with the minority carrier lifetimes used in this work arediscussed in Section 3.4. The various recombination current components for our devices havebeen plotted in Figure 3.22. Searles et al. [51] have shown that in typical AJGaAs/GaAsdevices SRH recombination in the emitter side of the SCR is the dominant component ofthe base current at low values of VBE, and at high VBE the dominant component becomesthe quasi-neutral base recombination. But as we can see from Figure 3.22, the dominantcomponent of base current over almost the full range of VBE in our devices is the quasi-neutral base recombination. This is because of the very short lifetime (5Ops) that we haveused for the minority carriers in the base. Such a value is appropriate because it gives anexcellent fit to the gain in InPfInGaAs HBTs which have been used in front-end receivers[52], and which have been successfully modeled by UBC\u00E2\u0080\u0099s device analysis program [53].403.4 Material Parameters of Ini_GaAsPi_Although this thesis is concerned mainly with InGaAs in the form ofIn053Ga47As,other alloy compositions are of interest in electronic and optoelectronic applications. Infact, the ternary compound InGaAs can be recognized as a special case of the quaternarycompound IniGaAsPi_, in which the bandgap can change all the way from 0.75eVto 1.35eV. This energy range corresponds to a wavelength range of 0.91\u00E2\u0080\u00941.65gm, whichcovers the 1.3\u00E2\u0080\u00941.6gm band which is suited to fiber-optic communication systems on accountof the low dispersion and attenuation in typical fiber-optic cables in this wavelenght range.To model devices, such as HBTs and lasers, which would be used in transmitters for suchsystems, it is necessary to know how various electronic and optical properties vary with themole fractions x and y. As such information is not generally available, we present here amixture of data from the literature and computed values which should serve as a basis formodel calculations for devices based on InGaAsP.Because the ratio of the total number of group Ill atoms to the number of group V atomsis unity, then the composition of any Ini_GaAsPi_ compound is uniquely representedby two parameters. One variable (x) gives the function of group III sites occupied by Gaand the other (y) gives the fraction of group V sites filled by As.In deriving many physical parameters 0 of the alloys when specific experimental data areunavailable, a linear interpolation scheme is generally adopted using the values of the relatedbinary compounds. The parameters of a quaternary compound such as Ini_GaAsPi_ycan be obtained from the respective values of the four binaries, InP, GaP, GaAs and InAs41in accordance with [54]O(Ini_xGaxAsyPi_y) = XYOGaAs + x(1\u00E2\u0080\u0094 Y)OGaP + (1 \u00E2\u0080\u0094 X)yOInAs + (1 \u00E2\u0080\u0094 x)(1 \u00E2\u0080\u0094 y)Oip(43)If the parameters for the binary compound are available, they can be used in the aboveequation to estimate the parameter of the quaternary for a particular x and y.3.4.1 Ratio of x to y for lattice-matching to InPEquation (43) can be used to work out the ratio of x to y at which the quaternary islattice-matched to InP. Using the lattice constants for the binary compounds as given in Table3.4, the relation between x and y for lattice-matching to InP can be summarized asCompound Lattice Constant(A)GaP 5.4512GaAs 5.6532InAs 6.0583InP 5.8687Table 3.4 Lattice constants of the four binary compounds [54].\u00E2\u0080\u0094O.l896y\u00E2\u0080\u0094 0.4175\u00E2\u0080\u0094O.0l24y (44)o.47yAs we are interested only in lattice-matched systems in this thesis, we can express theparameters of interest solely in terms of \u00E2\u0080\u009Cy\u00E2\u0080\u009D, and then use (44) to find the correspondingvalue of \u00E2\u0080\u009Cx\u00E2\u0080\u009D.423.4.2 Bandgaps and Electron AffinityThe minimum bandgaps (eV) for the F, L and X valleys are given byEgr = 1.35\u00E2\u0080\u0094O.\u00E2\u0080\u0099720y + 0.120y2Egx = 5.04 + O.39y+ 0.149y2 (45)EgL = 3.14\u00E2\u0080\u0094 O.739y+ 0.149y2The expression for the bandgap of the F valley is based on experimental data [55,p. 295],whereas the expressions for the X and L valleys are based on theoretical considerations[55,p. 295]. The conduction band discontnuity is assumed to be about 42% ofthe total energy bandgap difference between the emitter and the base [53]. Knowing thatx(Ini.-o.47yGaoAsyPi_y) increases as y increases, we can obtain an expression for theelectron affinity of Ini_o.47GaAsPi_ by taking a value of 4.50 eV for InP [53].(Ini_o.47yGaAsyPi_y) = (InP) + 0.417[Egr(InP) \u00E2\u0080\u0094 Egr(y)](46)= 4.50 + 0.42(0.720y \u00E2\u0080\u0094 0.120y2)Bandgap narrowing due to heavy doping is also included in our model. But becauseof the lack of data in the literature, it assumed that the effective bandgap narrowing in thep-type InGaAs base is similar to that in p-type GaAs [56]. For the base doping density ofabout 109cm3used in this work, the bandgap narrowing is about 70meV.3.4.3 Effective MassesThe electron effective mass in lattice-matched Ini_o.47GaAsPi_ is given byTflneff = (0.08 \u00E2\u0080\u0094 0.039y)mo (47)This is based on experimental data [54].43The expression for the effective mass of holes in lattice-matchedIni_0.47yGao.AsyPi_y is obtained by interpolating using (43) and the data forthe four binary compound semiconductors shown in Table 3.5.Compound mpeff (/mo)GaP 0.79GaAs 0.62InAs 0.60InP 0.85Table 3.5 Hole effective masses of the four binary compound semiconductors [54].mpeff = (0.85 + O.28y \u00E2\u0080\u0094 0.04y2)mo (48)3.4.4 Low-Field Low-Doping Majority Carrier MobilityThe low-field, low-doping mobility of electrons in lattice-matchedIni_o.4\u00E2\u0080\u0099yGa7AsPi_ (cm2V1s)is obtained from a best fit to experimentaldata [SS,p. 202] (see Figure 3.23) and is given by= 1000(1.2085y3\u00E2\u0080\u0094 0.6735y2+ O.15\u00E2\u0080\u009978y + 0.4086) (49)The low-field, low-doping mobility of holes in lattice-matched \u00E2\u0080\u0098fll\u00E2\u0080\u0094O.47yGao.47AsP1\u00E2\u0080\u0094y(cm2V\u00E2\u0080\u0099s) is obtained from a best fit to experimental data [S5,p. 2021 (see Figure 3.23)and is given byILpO = 1000(0.8182y4\u00E2\u0080\u0094 1.4655y3 + 1.2127y\u00E2\u0080\u0094O.4\u00E2\u0080\u0099758y + 0.1412) (50)44250.00.0 I I0.0 0.4 0.6 0.8 1.0Arsenic Composition (y)Figure 3.23 The experimental data for majority carrier mobility inn and p-type lattice-matchedIni_o.47GaAsPi_,together with the best fit curves as a function of arsenic composition.3.4.5 Doping Concentration Dependency of Mobilitygao (51)= 1 + \ ref)2C)>.1-Q0Best Fit Curve for Holes200.0 A Experimental Data for Holes / pi \u00E2\u0080\u0098.7J\u00E2\u0080\u0099 A150.0100.Oi i__\u00E2\u0080\u00A2&._____AA A A50.0 - - -- Best Fit curve for Electronsfl Experimental Data for Electrons0.210000.08000.06000.04000.02000.00.0The dependence of the electron and hole mobilities ofIn0\u00E2\u0080\u00A253Ga47Asand InP on dopingconcentration is obtained from a best fit to experimental data (see Figure 3.24) [55,p.2O2],and is given bywhere NT is the doping concentration. Table 3.8 gives the corresponding values of c andNref. ga is the low-filed low-doping mobility of the materials given in Section 3.4.4.45102C)>.4-\u00E2\u0080\u0094 \u00E2\u0080\u0094- lnP Fitted Data for Electron- .4 \u00E2\u0080\u00A2lnP Exp. Data for ElectronI V lnP & lnGaAs Fitted Data for Hole\u00E2\u0080\u00A2InP & InGaAs Exp. Data for Hole\u00E2\u0080\u0094\u00E2\u0080\u0094 InGaAs Fitted Data for Electrono \u00E2\u0080\u00A2InGaAs Exp. Data for Electron10 14 \u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u009815 \u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u009816 \u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u009817 \u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u009818 \u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u009819\u00E2\u0080\u0099\u00E2\u0080\u0099\u00E2\u0080\u0099\u00E2\u0080\u0099 \u00E2\u0080\u0098010 10 10 10 10 10 10Doping Concentration [cmjFigure 3.24 The experimental data for hole and electron mobility in \u00E2\u0080\u0098no.53 Gao.47As and InP as a function of dopingconcentration, together with the best fit curves.3.4.6 Auger Coefficients of Ini_o.47GaAsyPi_The Auger coefficient (cm6s1) for the process where a conduction band electronrecombines with a heavy-hole, transferring it to the light-hole band can be obtained from abest fit to experimental data [57], and is given bylog Tap = 3.5854y4 \u00E2\u0080\u0094 8.0619y3+ 7.9209y2\u00E2\u0080\u0094\u00E2\u0080\u00987.4853y \u00E2\u0080\u0094 4.885110\u00E2\u0080\u009436 (52)GPO =Tapwhere Tap is the lifetime and G,o the Auger coefficient.The Auger coefficient (cm6s1)for the process where a hole recombines with a conduction band electron, and the energy is transferred to another conduction band electron can beobtained from a best fit to experimental data [57], and is given bylog Tan 4.2933y \u00E2\u0080\u0094 9.9298y3 + 1O.514y2\u00E2\u0080\u00949.3l2\u00E2\u0080\u0099Ty \u00E2\u0080\u0094 4.60211036 (53)Cf0 =Tan46where Tam is the lifetime and Co the Auger coefficient.Sometime after the above equations were developed, new data on Auger coefficientsfor lattice-matched InGaAs appeared in the literature [58]. The new values (C0 = Co =5 x 10\u00E2\u0080\u009430 cm6s) were used in this work.3.4.7 Radiative CoefficientThe radiative coefficient for lattice-matched Ini_o.47Ga ,AsPi_ (cm3s\u00E2\u0080\u0099) is obtained by interpolating using (43 and 44) with the four binary compound values shown inTable 3.6. The expression is given byCompound B(cm3s)GaP 5.370 x 10\u00E2\u0080\u009414GaAs 7.210 x 10\u00E2\u0080\u009410InAs 8.500 x lOInP 1.260 xTable 3.6 Radiative coefficients of four binary compound semiconductors [59].B = 1.26 x i0\u00E2\u0080\u0094l.742y x 10 + 8.659y2 x 10_b (54)Although this equation might be useful in describing the general dependence of B on y,the experimental data on which it is based is old, and does not agree particularly well withmore recent data for the specific compound 1n0\u00E2\u0080\u00A253Ga047As [53]. We use the more recentdata (B = 4.0 x 10_li cm3s1)in this work.3.4.8 Dielectric ConstantsThe dielectric constant for lattice-matched In1 o.4iGao.47AsPi_is obtained byinterpolating using (43 and 44) and the four binary compound values shown in Table 3.7.47The expression is given byCompoundGaP 11.1GaAs 13.1InAs 14.6InP 12.4Table 3.7 Dielectric constant of four binary compound semiconductors [54].(12.4 + l.5y)3.4.9 Shockley-Read-Hall Lifetime(55)The Shocldey-Read-Hall lifetime of electrons in lattice-matchedIni_o.47GaAsPi_ is assumed to be the same as has been measured forhighly-doped GaAs [60], i.e..TO = 5Ops (56)For holes there is not much data available so we take the value often quoted for moderatelydoped GaAs [61], i.e.Tpo = 2Ons (57)48A summary of material parameters is presented in Table 3.8.Parameters 1n053 Ga0 47As InPLattice Constant (A) 5.87 5.87Bandgap F [eVI 0.75 1.35Bandgap X [eV] 5.50 5.04Bandgap L [eV] 2.55 3.14mneff 0.04 1 0.08mpeff 0.61 0.85/-n0 [cm2Vs] 11000 4086ILpO {cm2Vs] 231 141a(n \u00E2\u0080\u0094 type) 0.56 0.68(p \u00E2\u0080\u0094 type) 0.71 0.71Nref(fl \u00E2\u0080\u0094 type) 1.1 x 1018 1.7 x 1018Nref(p \u00E2\u0080\u0094 type) 1.8 x i0\u00E2\u0080\u0099 1.6 x 1017C11 {cm6s] 5.0 x i0\u00C2\u00B0 5.0 x i0\u00C2\u00B0Cp [cm6s] 5.0 x i0\u00C2\u00B0 5.0 x i0\u00C2\u00B0B [cm3s] 4.0 x 10 4.0 x 10_li13.9 12.4r11j [s] 50 x 10_12 50 x 10\u00E2\u0080\u009412rj [sI 20 x i0 20 xTable 3.8 Summary of material parameters used in the CCHBT model.49Chapter 4Non-Self-Aligned Method for Device FabricationIn this chapter the non-self-aligned technology used to fabricate HBTs is described.There were two batches of wafers, the first batch contained the two wafer structures with thespecifications presented in Tables 2.1, 2.2 and 2.3 of Chapter 2. Hereafter the device structurewith the InGaAs/InGaAs collector is called \u00E2\u0080\u009CInGaAs\u00E2\u0080\u009D and the one with the InGaAsIInPcollector is called \u00E2\u0080\u009CInP\u00E2\u0080\u009D. The number after this designation indicates the wafer number.The second batch contained the modified version of the first batch\u00E2\u0080\u0099s wafers. Some of thereasons for the poor performance of the devices in the first batch, together with reasons forthe modifications employed in the second batch, are discussed in this chapter.The wafers were fabricated in the clean room facility of the Microfabrication group inthe Institute for Microstructural Sciences (IMS) at the National Research Council, Ottawa.4.1 SIMS Plots of wafer InGaAs#1 and InP#1 andModifications for InGaAs#2 and InP#2In order to analyze the doping concentration profiles of the p-type dopant atoms in ourwafers, it was necessary to look at the secondary ion mass spectroscopy (SIMS) plot of eachwafer. This is a useful analysis tool to measure not only the p-type dopant concentrationbut also other specified atomic species as a function of depth in the wafer. Because itis a destructive technique, a small piece (0.5 x 0.5cm2) of the wafer was used for suchmeasurements. The process consists of milling the surface with an ion beam and analyzingby mass spectrometry the released secondary ions. A cesium (Cs) ion beam was used for50CI0UZ0H50Z0UwCPROCESSED DATA25 Jan 93 02CANMET Metals Technology LaboratoriesFILE: 1030\u00E2\u0080\u00942Figure 4.25 The ln(l 13), As and Zn secondary ion count profiles for lnGaAs#l. The vertical dashed lines define themetallurgical base boundaries.CIZ30UZ0HC40UCC102PROCESSED DATA25 Jan 93 02CANMET Metals Tachnology LaboratontesFILE: 2530\u00E2\u0080\u0094I2.0iiSZn+0AsZnFigure 4.26 The In(l 13), As and Zn secondary ion count profiles formetallurgical base boundaries.InP#l. The vertical dashed lines define theto450101DCPTh (microns)i01011.0OEPTH (microns)511.50.5ci)>%ciC-0.5-1.50.0 1.0Figure 4.27 Calculated energy band profile, before and after outdiffusion of Zn as performed by LUMIN for InP#1 basedon results of SIMS plot in Figure 4.26, and the original specification, respectively. Refer to \u00E2\u0080\u009CCumulative Depth\u00E2\u0080\u009D columnin Table 2.1 for layer locations,sulfur (S) and gallium (Ga), and an oxygen (Or) ion beam for indium (In), arsenic (As) andzinc (Zn). The SIMS plots for the first batch are shown in Figures 4.25 and 4.26, for countsof three atomic species, namely: indium, arsenic and zinc. The base metallurgical boundarieshave been marked on the graphs. As we can see, in the case of InGaAs#1 the Zn atoms havediffused deep into the emitter and collector layers, which suggests the emitter-base junctionis now formed in the wide bandgap material (InP) and the undoped collector has shrunk. Asdiscussed in Chapter 2, these effects are very undesirable as far as the performance of thedevices is concerned. The diffusion of Zn into the collector has a more severe impact onInP#l\u00E2\u0080\u0099s performance than InGaAs#l\u00E2\u0080\u0099s. This is because InP#1 is essentially a DHBT, so anyout-diffusion of Zn into the collector, would create a barrier to the flow of electrons from thebase to the collector. Figure 4.26 suggests that the entire undoped InGaAs layer and part ofthe InP layer in the collector of InP#l has been converted into p-type material. This certainly0.2 0.4 0.6 0.8Depth [urn]52creates a huge barrier at the base-collector junction which limits the flow of electrons. TheInP#1 device has been simulated by LUMIN and the result is shown in Figure 4.27.The actual reason for the out-diffusion of zinc atoms into the emitter and collector of ourdevices is difficult to explain, but it has recently been shown by Kurishima et al. [33], thathigh doping (> 109cm3of Si) in the subcollector of InP/InGaAs heterojunction bipolartransistor structures is responsible for high Zn diffusivity and associated broadening of thebase layers. This abnormal redistribution of Zn has been explained by Deppe [62]. He hasdescribed that at high subcollector concentration there will be an increase in the numberof column ifi interstitial sites. So when a p+.doped layer is grown after it, the Zn atomstend to diffuse into the subcollector to occupy the vacant interstitial sites. He has alsoshown that this out-diffusion can be reduced by decreasing the n-type doping concentration.In order to made sure the next batch of wafers resulted in operational devices, threemodifications were made to the original specification,1. The base doping concentration level was reduced from 1.5 x 109cm3 to1.0 x 109cm3.2. The spacer layer thickness at the emitter-base junction was increased from 80A to bOA.3. The n-type doping concentration level in the subcollector was reduced from2.0 x 109cm3 to 5.0 x 108cm3.These measures were taken to limit the out-diffusion of Zn into adjacent layers as muchas possible. Figures 4.28 and 4.29 indicate that the Zn was confined into the base layer ashoped-for. The base metallurgical boundaries have been marked on the graph to indicate this.530.5 2.0 2.5DEPTH (micron.)Figure 4.28 The In(l 13), As and Zn secondary ion count profiles for lnGaAs#2. The vertical dashed lines define themetallurgical base boundaries.PROCESSED DATA CANHET M.t.1. TechfloloQy Lfloratoriea19 May 93 02 FILE: 403\u00E2\u0080\u0094OAPROCESSSO DATA19 May 93 02CANHET Matala Tacflnolaoy L.boratortaaFILE: 7S3\u00E2\u0080\u0094OAPS1z0LIZ0I.C4010USSAaZn\u00E2\u0080\u0098OS10\u00E2\u0080\u0099102101I,IZ0UZ0C4010USS2.0DEPTH (micron.)Figure 4.29 The In(1 13), As and Zn secondary ion count profiles for InP#2. The vertical dashed lines define themetallurgical base boundaries.544.2 Process for the Fabrication of DevicesA simple fabrication process technique was used to make the devices in order to maximizeyield and minimize the time taken. The process sequence is outlined in the following subsections. The process was developed by the Microfabrication group at NRC.4.2.1 Scribing and Cleaning the WafersThe diamond scribe technique was used to cut the wafers into 1.2 x 1.2 cm2 pieces ortiles. The original wafers were 2 in diameter with primary and secondary flats.After cutting, the tiles were cleaned using the following procedure to remove dirt andgrease from the surfaces. Two beakers of lOOml of trichloroethylene were put in an ultrasonic bath at 80\u00C2\u00B0C for a few minutes. Tiles were first immersed in the first beaker for 2.5minutes, and then transferred to the second beaker quickly (to avoid drying of the tiles) foranother 2.5 minutes. During this time, two other beakers were prepared, one with lOOmlof acetone and the other with lOOml of methanol, and kept outside of the hot-tub. Afterthis the tiles were quickly transferred into the acetone beaker for 2.5 minutes, and thento the methanol beaker for another 2.5 minutes. Meanwhile, two beakers with lOOmi ofisopropylalcohol were put in the hot-tub, first the tiles were transferred into the first beakerfor 2.5 minutes, then into the second beaker for another 2.5 minutes. After that, the tileswere rinsed with deionized (DI) water for 5 minutes in a water cascade.In this cleaning procedure, trichloroethylene was used to degrease the surface whileacetone was used to rinse off the trichioroethylene. Methanol was used to rinse off theacetone, isopropylalcohol to rinse off the methanol and, finally, DI water to rinse off the55isopropylalcohol. Now to remove the native surface oxide, firstly the tiles were dipped intoa solution of HC1 : 1120 (1: 1) for 30 seconds and then rinsed with DI water for 1 minute.Second, the tiles were dipped into a solution of NH4O : 1120 (1: 10) for 30 seconds andagain rinsed with DI water for another 1 minute. Each time the tiles were taken out of theDI water, they were blown dry quickly by nitrogen gas so as not to leave any stain on thesurface. Finally, to minimize re-oxidation of the surface, the tiles were dehydrated by bakingthem on a hot-plate for 2 minutes at 90\u00C2\u00B0 C.4.2.2 Fabrication stepsIn the following paragraphs the steps that were taken to fabricate the non-self-aligneddevices are described in detail.4.2.2.1 Spinning the Photoresist The first step after the initial cleaning of the tiles was toapply positive photoresist (PR) using a spin-on technique. In order to get an approximately1tm-thick PR film, a speed of 5000 rpm was used for 30 seconds. The tiles were thenprepared for exposure by soft baking at 90\u00C2\u00B0C for 1 minute. The state of the wafer afterthis step is illustrated in Figure 4.30. Next a mask aligner was used to transfer the maskpattern from a photolithographic mask to the photoresist. A schematic diagram of the masksis shown in Figure 4.31 and the optical micrograph is shown in Figure 4.32. Ultravioletlight (wavelength 365nm) was used to expose the PR for 2.7 seconds. The developingsolution was diluted with DI water in the ratio of 5:1 DI:developer and the patterned tileswere immersed in it for 45 seconds at room temperature and then rinsed off in flowing DIwater for several minutes. Step 2 in Figure 4.30 shows the results. After that the tiles were56given a hard bake at 120\u00C2\u00B0 C for 2 minutes to make the remaining PR layers more resilientto subsequent chemical etch solutions.4.2.2.2 Wet Chemical Etch for the Emitter Mesa Having patterned the PR, the chemicalsolution for etching the emitter mesa was prepared. The emitter mesa was the same for bothHBT structures. The wet chemical etch used for the InGaAs emitter cap layer was citric acid(50% solution by weight) and hydrogen peroxide with ratio of 3:1 (Citric Acid : 11202) for3 minutes. This is a reaction-limited etch. The etch rate of this solution is about 1200A perminute and it stops at the InP layer of the emitter. After that the tiles were rinsed with DIwater and blown dry with nitrogen gas. The mesa height, as measured using a DEKTAKsurface profilometer, was found to be between 1.14 and 1.16tm. The wet chemical etchused for the InP emitter layers was a solution of phosphoric acid and hydrochloric acid(H3P04 : HEll) in the ratio of 3:1. This solution is a selective etch and does not react withthe InGaAs layer of the base layer. The time of the etch was 2 minutes and violent agitationwas employed to dissipate the hydrogen evolved in the reaction. Step 3 in Figure 4.30shows the state of the wafer.4.2.2.3 Photoresist Stripping and Cleaning After the height of the mesa was measuredwith the DEKTAK, the tiles were put into a PR-stripping solution for 10 minutes. Then thetiles were cleaned using the sequence of solvents listed in subsection 4.2.1.4.2.2.4 Wet Chemical Etch for the Base Mesa PR was applied and developed as described in subsection 4.2.2.1. First the base mesa was etched with the InGaAs wet chemicaletch then followed by the InP wet chemical etch. The InGaAs part of the mesa etch thick57ness, in the case of the InGaAs#2 wafer was about 4500A, whereas in the case of the InP#2wafer, the InGaAs thickness was about 1500A and InP 3000A. The corresponding etchingtimes for InGaAs#2 and InP#2 were 5 minutes (for InP#2, 3 minutes to etch the InGaAs and2 minutes the InP). Then the tiles were put into the InP chemical etch for 10 second to etchthe InP etch-stop layer. Then the height of the mesa was inspected by the DEKTAK. Oncethe height was satisfactory, the PR was stripped and the tiles solvent-cleaned in preparationfor the next step.4.2.2.5 Wet Chemical Etch for the Collector Mesa Following a repeat of the previously-described procedure for PR application, exposure and development, and after using the sub-collector mask, the tiles were put into the InGaAs etching solution for 5 minutes. Thesub-collector thickness is the same for both structures so the same etching time was used forboth of them. The tiles were then stripped of PR and solvent-cleaned.4.2.2.6 Pattern for Metal Contact With the three mesas established, it remained to applycontact metal to the emitter, the base and the collector. To pattern the three metal contactson the surface of the tiles, a chlorobenzene lift-off process was used.In lift-off, the PR pattern is first established and the metal to be lifted-off is evaporatedon top of the PR. In areas where there is no PR, the metal is also deposited. The tile is laterimmersed in a chemical which dissolves the PR (typically acetone). The metal depositedon clear areas remains. This procedure is facilitated by the fact that the metal forms adiscontinuous film on the tile and no tearing of the metal is required. The metal is depositedin a highly directional manner and the edge of the PR pattern is shaped into a form which58incorporates an overhanging lip. The lip and the vertical nature of the pattern edge createsa space where no metal can be deposited. The recipe for the chlorobenzene liftoff processis as follows.The photolithographic process relies on contact image transfer. Good transfer requiresthat the mask be in intimate contact with the PR on the tile. Spinning PR creates an edgebead on the tile which inhibits good contact. The edge bead is a natural consequence ofsurface tension as PR spins off the edge. The bead can rise up very high above the surfaceof the tile which is a problem when working with smaller pieces. It was found necessarythat the edge bead be removed using the following procedure.After applying the PR in the standard manner, the tiles were first exposed through amask that was transparent only at the edges. The exposure was extended to 2 minutes aftera soft bake of 70\u00C2\u00B0C for 1 minute. This long exposure allowed a very short developing timeof 10 seconds in the standard developing solution. The tiles were then rinsed in DI waterand blown dry. After removing the bead, the tiles were patterned for metal contact in thesame manner. Prior to developing the exposed pattern, the surface of the PR was madeless sensitive to developer by immersing the tiles in the chlorobenzene for 6 minutes. Thechlorobenzene was rinsed off with tricloro-trifloroethane (freon) for 15 seconds and the tileswere blown dry with nitrogen gas. The freon was further driven off the surface of the PRby baking the tiles on a hot plate for 45 seconds at 70\u00C2\u00B0 C. Development took place in thestandard development solution but required 2\u00E2\u0080\u00943 minutes of immersion and gentle agitation.Visual inspection of the pattern was made and, if required, more development was allowedto take place. Following the development of the PR pattern, the tiles were subjected to a59descumming procedure. The objective of this procedure is to remove any organic residuefrom the surface in areas where the PR has been removed. The descum procedure uses amicrowave source to excite an oxygen plasma at low pressure ( 3 Torr). The excited oxygenspecies is effective in removing carbon-based molecules from the surface. For example, PRis removed at the rate of 50A/min in the system which was employed. After descummingthe tiles, they were dipped into a solution of HC1: 1120 in the ratio of 1:1 for 30 secondsto remove any native oxide from the surface. Then the metal was evaporated on the tiles.The metal deposition system utilizes an electron beam to heat and evaporate the metals. Athree-layer metal system was deposited, 500A of titanium (Ti), 750A of platinum (Pt) and2500A of gold (Au). Figures 4.34 and 4.35 show the SEM micrographs of part of the deviceprior to lift-off in acetone.60Figure 4.30 The HBT fabrication sequence: (1) Spin the PR on wafer; (2) Pattern the PR and then develop it; (3) etch theemitter mesa; (4) Spin, pattern, develop the PR and then etch the base mesa; (5) Spin, pattern, develop the PR and thenetch the collector mesa; (6) Spin, pattern, develop the PR and then evaporate Ti/Pt/Au.PR123[4EmitterBase + CollectorSub-CollectorTi/Pt/Au5r Y661Collector Mesa (Mask 3) Emitter Mesa (Mask 1) Base Mesa (Mask 2)_Metal LFigure 4.31 Schematic diagram of the mask for the non-self-aligned HBT.Figure 4.32 Optical micrograph of a non-self aligned HBT (emitter area of 60 x 60 pm2). The mangification factor is 1044.62Figure 4.33 The SEM micrograph, showing the emitter mesa etch profile.Figure 4.34 SEM micrograph before lift-off, showing the metal lip resulting from the chlorobenzene process.63Figure 4.35 SEM micrograph before lift-off, showing another view of the same effect as shown in Figure 4.34.64Chapter 5Results and DiscussionIn this chapter, the experimental dc characteristics of a single heterojunction bipolartransistor (SHBT) InGaAs#2, and a composite collector heterojunction transistor (CCHBT)InP#2 are presented. Tables 5.9 and 5.10 show the specifications for the wafers as given bythe manufacture (EPI). Preceding the presentation of these characteristics is a brief descriptionof the experimental setup for the dc measurements. The dc measurements include the current-voltage characteristics for both the common-base and common-emitter connections, Gummelplots for forward and reverse modes of operation, dc and differential gain. An investigation ofthe alloying temperature for the metallic contacts on the device performance is also presented.On each piece of wafer, a variety of transistors with emitter areas ranging from1Otm x 1Om to 8Opm x 8Oim was fabricated. Some analysis and comparison of thedevices based on the emitter dimensions is also presented in this chapter. Also we presentresults from the analytical model which was discussed in Chapter 3, and make, where possible, comparisons between the simulated and measured results.The device were characterized at the Device Physics group in the Institute for Microstructural Sciences (IMS) at the National Research Council, Ottawa.5.1 Experimental ProcedureTo avoid wire-bonding, electrical contacts to the transistor were made by probing thedevice with tungsten needle probes mounted on fine xyz positioners. All of the electricalmeasurements were performed using an HP4145B Semiconductor Parameter Analyzer, with65Layer Material Thickness (A) Doping (cm3)Emitter CapT InGaAs (n+) 1500 1.1 x 1019Emitter Capli InP (N+) 500 9.0 x 1018Emitter InP (N) 1000 1.0 x 1018Spacer InGaAs 100 UIDBase InGaAs (p+) 500 1.0 x i019Collectorl InGaAs (n-) 900 1.0 x 1016Collectoril InGaAs (n-) 3000 1.0 x 1016Etch Stop InP (N+) 100 5.0 x 1018Subcollector InGaAs (N+) 4000 5.7 x 10Substrate S.I. InPTable 5.9 The specification given by EPI for the InGaAs#2 HBT.Layer Material Thickness (A) Doping (cm3)Emitter CapI InGaAs (n+) 1500 9.7 x 10Emitter Capli InP (N+) 500 7.8 x 1018Emitter InP (N) 1000 1.3 x 1018Spacer InGaAs 100 U/DBase InGaAs (p+) 500 9.8 x 1018Collectorl InGaAs (n-) 900 1.0 x 1016Collectorli InP (n-) 3000 1.0 x 1016Etch Stop InP (N+) 100 5.0 x 1018Subcollector InGaAs (N+) 4000 4.6 x 1018Substrate S.I. InPTable 5.10 The specification given by EPI for the InP#2 HBT.66source measuring unit (SMU) triaxial cables, SMU1, SMU2 and SMU3, connected to theemitter, base and collector, respectively. The way the variables (VAR1, VAR2 and COM)were assigned to each metal contact depended on the intended electrical measurements.5.2 DC CharacteristicsIn this section the dc characteristics of the InGaAs#l, InGaAs#2 and InP#2 HBTs arediscussed in detail.5.2.1 Common-Emitter and Base CharacteristicsFigures 5.36 and 5.37 illustrate the common-emitter output characteristics of InGaAs#1,InGaAs#2 and InP#2. One of the most remarkable features that can be observed fromFigure 5.36 is the fact that the common-emitter breakdown voltage (BVCEO) of InP#2 isapproximately three times greater than that of InGaAs#2. This confirms the role played bythe composite-collector structure in suppressing impact ionization breakdown.Figures 5.38, illustrates the offset region of the InGaAs#2 and InP#2 structures. As itcan be seen, the collector current is negative and is equal (in the case of InGaAs#l) to orless (in the case of InGaAs#2 and InP#2) to the base current. Obviously in this region thecollector injects more heavily than the emitter and leads to a negative collector current. Itis also observable, from Figure 5.37 and 5.38, that the offset-voltage of InGaAs#1 is muchlarger than in the other two structures (InGaAs#2 and InP#2).The VCE offset voltage is approximately equal to the difference between the effectiveturn-on voltages of the two junctions. It basically means that at a certain value of VCE thetwo junctions are forward biased such that the injection of electrons from each junction into67the base is the same. This results in zero effective collector current. As we can see fromFigure 5.38 the offset voltage is independent of base current.When InP#2 was operated in the inverted mode, a negligible offset voltage was observed,as shown in Figure 5.39, and the emitter current increased more gradually than in the forwardmode. Notice also that the breakdown voltage of the device has deteriorated. Because of thehigh-doping concentration in the emitter, there will be a higher electric field which lowersthe breakdown voltage. The devices in the inverted mode do not have any useful gain, ascan be seen from Figure 5.40. This is because for both devices the base-collector junctionis effectively a homojunction, for which the injection effeciency is very low due to the lowdoping density of the collector.A low output conductance and good device linearity are important in minimizingharmonic distortion. The differential output conductance of an HBT is defined as go =dI/dVcE IC/(VA + VCE), where the Early voltage VA is the 1c \u00E2\u0080\u0094 VCE slope extrapolatedto the VCE axis. The fabricated HBTs present excellent output characteristics comparedto previously reported results from similar wafer structures [43]. The negative outputconductance observed in AlGaAs/GaAs HBTs results from device heating and decreasingcurrent gain with temperature. This was not observed in our InP/InGaAs devices presumablybecause of their better thermal dissipation, due to the good thermal conductivity of the InPsubstrate (0.44\u00E2\u0080\u00940.455 W/cm K for GaAs [63, on p.24.7] as opposed to 0.66\u00E2\u0080\u00940.7 W/cm Kfor InP [63, on p.S\u00E2\u0080\u00997l]). The common-base characteristics of InP#2 show also an improvedbreakdown voltage over the InGaAs#2 devices (see Figure 5.41). Both devices show highoutput conductance in the common-base mode.68E\u00E2\u0080\u00A21IFigure 5.37 Measured ouput characteristics of InGaAs#1 HBT for an emitter area of 60 x 80m2. The base current startsat 51zA and increases in steps of 20A.6.04.02.00.00.0 4.0 8.0 12.0Collector-Emitter Bias Voltage [V]Figure 5.36 Measured output characteristics of InGaAs#2 and InP#2 HBT for an emitter area of 60 x 80m2. The basecurrent starts at 5A and increases in steps of 20A.\u00E2\u0080\u0094 1.60E.1-\u00E2\u0080\u00990.40Collector-Emitter Bias Voltage [V]69Figure 5.38 Magnified offset region of the measured output characteristics shown in Figure 5.36. The base current startsat 5A and increases in steps of 20A.E\u00E2\u0080\u00A21-Figure 5.39 Measured reverse characteristics of InP#2 HBT for an emitter area of 60 x 80m2. The base current startsat 5iA and increases in steps of 2OzA.E.1004-0ci)00Collector-Emitter Bias Voltage [V]0.050.040.030.020.010.00Emitter-Collector Voltage [V]7010110211004Emitter Current10 - - -- Base Current10b0 - II I0.0 0.2 0.4 0.6 0.8 1.0Collector-Base Voltage [V]Figure 5.40 Measured reverse mode Gummel plot of InP#2 HBT for an emitter area of 60 x 80jm2.11.0-InGaAs/lnGaAs Collector_ s.c\u00E2\u0080\u0099 - - --- lnGaAs/lnP Collector\u00E2\u0080\u0094;_=__________ --I. 7.0- /rrQ.V I/3.0- ,//1.0-\u00E2\u0080\u00941.0---1.0 1.0 3.0 5.0 7.0 9.0 11.0 13.0 15.0Collector-Base Bias Voltage [V]Figure 5.41 The measured common-base characteristics of InGaAs#2 and InP#2 HBTs for an emitter area of 40 x 40m2.The emitter current starts at OA and increases in steps of 2mA.715.2.2 Gummel PlotsAs already discussed in the previous chapters, the quality of the junctions in an HBTplay a vital role in the performance of the device. This quality depends to a large extenton how abrupt the junctions can be made. This abruptness in turn depends on how well thep-type dopant can be confined in the base layer. One can extract a lot of information aboutthe junction quality from measurements of the dependence of the logarithm of the collector(Is) and the base current (Ib) on the emitter-base bias voltage, i.e. from Gummel plots.Such plots were examined for the three fabricated devices. Figure 5.42(a) illustrates howthe device was configured for a Gummel plot measurement by the HP4145B. This is usuallydone with the base and collector short-circuited or VCB = OV. The measured Gummel plotsfor the three structures are shown in Figures 5.43 - 5.45.\u00E2\u0080\u0098c (a) (b) (c)Figure 5.42 The set up for devices, measured by HP4145B; (a) Gummel plots, (b) Common emitter I-V characteristics,(c) Common base I-V characteristics.All three Gummel plots exhibit a low current non-ideal region. For the present devices,the current in this region is actually photo-excited current. This was established by workersat the Device Physics group at NRC, who showed that this current could be eliminated by72I___10 Collector Current- - - -- Base Current-1210- I I I I I0.0 0.2 0.4 0.6 0.8 1.0Emitter-Base Bias Voltage [V]Figure 5.43 Non-alloyed Gummel plot (magnitude of current) of InGaAs#2 at Vcb=OV for emitter area 40 x 40jzm2.I___10b0- Collector Current12\u00E2\u0080\u0094 \u00E2\u0080\u0094- Base Current1 0- I I I I0.0 0.2 0.4 0.6 0.8 1.0Emitter-Base Bias Voltage [V]Figure 5.44 Non-alloyed Gummel plots (magnitude of current) of InP#2 at Vcb=3V for emitter area 40 x 40m2.731 021 4\u00E2\u0080\u0094 -6C-8o 1010b01012Figure 5.45 Non-alloyed Gummel plot (magnitude of current) of InGaAs#l at Vcb=OV for emitter area 40 x 40m2.performing the measurements in the dark. The optically generated electrons are swept acrossthe base-collector junction and out of the collector terminal by the electric field. At the sametime, photogenerated holes are swept into the base, and are apparently of such magnitudethat they cause a reversal of the base current from the direction it has at higher biases whererecombination in the base dominates and is supplied by holes flowing into the base fromthe base contact.Structure flcInGaAs#1 1.82 2.73InGaAs#2 1.02 1,13InP#2 at Vcb=3 1.02 1.16Table 5.11 The collector and the base current ideality factors for the three structures. The ideality factors were measuredby taking the average value at five different points on the linear region of the Gummel plot.Emitter-Base Bias Voltage [V]74The ideal linear region of the Gummel plot is the region immediately after the base currentreversal. In this region both the collector and the base currents increase exponentially withemitter-base bias voltage. The slopes of the curves yield the ideality factor of the base current(which gives information on the type of recombination which is dominant) and the collectorcurrent. These have been worked out and presented in Table 5.11. In order to explain thesource of the ideality factor of the collector current in our HBT devices, it is informativeto examine the general expression (58) for the collector current under low-level injectionconditions [49]. A comprehensive analysis of the approach used to derive the expression(58) has been presented in Ref. [64]. The formulation neglects any hot-electron effects asit assumes that all electrons injected into the base are immediately thermalized, and thattransport proceeds by diffusion. It is also assumed that the diffusion coefficient is constantacross the base layer and that there is no base recombination.JNG= \u00E2\u0080\u0094qn2 exp (4y) \u00E2\u0080\u0094 exp (4) (58)Nb TB+TEB+TBCwhere1TB=\u00E2\u0080\u0094w1TEB=YVnE)NETBC=- 159VnCSNC(-ZEESNE = 7nE exp kT/-LEcSNC = 7nC exp kTThe normalized junction velocity SNE can be represented by a simple exponential expression,75i.e. [65],* ( qVSNE = A exp\u00E2\u0080\u0094(60)SIC\u00E2\u0080\u0099 Jwhere A* and s are strong functions of the doping concentration in the emitter and baseand also a function of the conduction band offset. The values of A* and s for the devicesconsidered here are 0.375 and 6.55 respectively.The three terms in the denominator of (58) can be viewed as being related to the transportof electrons across the three important regions of the device, namely: the E-B junction(TEE), the quasi-neutral base region (TB) and the B-C junction (TBC). This interpretationhas been suggested before [49], and is convenient for assessing which part of the deviceis the bottleneck for the carrier transport. For example in a situation where we have ahomojunction at the E-B, the LEE term in (59) disappears. This implies that the electronsare injected across the E-B junction with an infinte velocity, such that the limiting factor in(59) is the diffusion transport across the base (TB). This results in a collector current withan ideality factor of unity. Now in a situation where there is an abrupt heterojunction atthe E-B interface, the electrons are injected across the junction with a finite velocity. It ispossible that TEB becomes the dominant term in the denominator of (58) and the collectorcurrent then becomes thermionic/tunnel limited. From (58)-(60) we find that the collectorcurrent can be written asib * ( VBE(1\u00E2\u0080\u0094)\u00E2\u0080\u009D\JNC = \u00E2\u0080\u0094qj\u00C3\u00A7--A exp q kT (61)i.e. the collector ideality factor is n = (1 \u00E2\u0080\u0094 For our value of s 6.55, this gives1.18. This is a little higher than observed experimentally. One explanation of the76difference could be that the spacer layer at the emitter-base junction is playing an importantrole in the current transport process. This possiblity is examined in Section 5.2.5.On the other hand the base ideality factor depends on the different base recombinationmechanisms. The base current in HBT\u00E2\u0080\u0099s generally consists of four major current components: (a) bulk recombination current in the quasi-neutral base region (b) Space ChargeRegion (SCR) recombination current in the emitter-base depletion region, (c) reverse injection current of holes into the quasi-neutral emitter (d) surface recombination current at theexposed extrinsic base surface. It is well known that the reverse injection current increasesexponentially with the emitter-base bias voltage with an ideality factor of \u00E2\u0080\u009C-i 1, and boththe space charge region recombination current and the surface recombination current oftenincrease with an ideality factor of \u00E2\u0080\u009C.\u00E2\u0080\u0098 2. In homojunctions, the quasi-neutral base recombination current has an ideality factor of unity. However, in heterojunctions, the splitting ofthe electron quasi-Fermi level means that the ideality factor for this recombination currentwill be the same as that of the collector current.As we can see from Table 5.11 the base ideality factors and the magnitude of the basecurrent (from Figures 5.43 and 5.44) of the two structures, InGaAs#2 (Vb = OV) and InP#2(Vb = 3.OV) are very close to each other. Firstly this suggests that the dominant source ofrecombination is the same for both structures. Secondly, it appears that the conduction bandoffset at the B-C junction does not block the transport of carriers; if it did, the magnitude ofthe base current in InP#2 would have been larger than in InGaAs#2. Following the argumentpreviously presented for the collector current ideality factor, in the case of thermionic/tunnellimited injection, we would expect that the base current would have the same ideality factor77as the collector current if the base current were dominated by neutral-base recombination.Figure 3.22 suggests that this is the major source of the base current in our devices, soa value of 1.18 for b is to be expected. This is very close to the value measured forInGaAs#2 and InP#2.The Gummel plot of InGaAs#1 shows a large shift along the positive direction on theVBE axis. This can be explained by looking at the analytical expression of the built-in potential of an abrupt heterojunction (15) in Chapter 3. This expression suggests thatV(NP)62 > V(Np)1, > V(np), where the capital letters represent the wide bandgap andthe lower case the narrow bandgap semiconductor, respectively. So as the junction is movedinto the wide bandgap semiconductor, the built-in voltage becomes large too, and as a resultof this there will be a positive shift on VBE.The measured dc (/3) and small-signal (hfe) current gains versus the collector current areplotted in Figures 5.46-5.47 for the InGaA#1, InGaAs#2 and InP#2 HBT structures. Noticethat the InGaAs#2 device exhibits a gain of more than unity for the collector-current range of10\u00E2\u0080\u009410 A to 10\u00E2\u0080\u00942 A, which could be very desirable from the circuit designer\u00E2\u0080\u0099s point of view.78102\ \ \u00E2\u0080\u0094 \u00E2\u0080\u0094\u00E2\u0080\u0094\u00E2\u0080\u0094 //\\u00E2\u0080\u0094\u00E2\u0080\u0094\u00E2\u0080\u0094 /101100\u00E2\u0080\u0094\u00E2\u0080\u0094 InP#2 at VCb=OVInGaAs#1 at VCb=OV- -- InGaAs#2 at VCb=OV1 O\u00E2\u0080\u0099- \u00E2\u0080\u0098-6 \u00E2\u0080\u0098\u00E2\u0080\u009C\u00E2\u0080\u0098\u00E2\u0080\u009C\u00E2\u0080\u009C\u00E2\u0080\u0098-4\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u009C\u00E2\u0080\u0098\u00E2\u0080\u0098-2\u00E2\u0080\u009910 10 10 101 [A]Figure 5.46 The measured dc gain / versus the collector cuffent in InGaAs#1, InGaAs#2 and InP#2 for an emitter areaof 40 x 40m2.210--\u00E2\u0080\u0094- 7\u00E2\u0080\u00941 /10 /z-C r__J_f100 IV \u00E2\u0080\u0094 - - InP#2 at VCb=3VInGaAs#1 at VCb=OV- - - InGaAs#2 at VCb=OV10-10\u00E2\u0080\u0099\u00E2\u0080\u0099\u00E2\u0080\u0099\u00E2\u0080\u0099\u00E2\u0080\u0099\u00E2\u0080\u0099 \u00E2\u0080\u009C\u00E2\u0080\u009C-8\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098-6\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u009C\u00E2\u0080\u0098-4\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u009C\u00E2\u0080\u009C\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u0098\u00E2\u0080\u009C\u00E2\u0080\u009C\u00E2\u0080\u0098-2\u00E2\u0080\u0099\u00E2\u0080\u0099\u00E2\u0080\u0099\u00E2\u0080\u009D10 10 10 10 101 [A]Figure 5.47 The measured small-signal gain life versus the collector current in InGaAs#l, InGaAs#2 and InP#2 for anemitter area of 40 x 40m2.795.2.3 Parasitic Resistance MeasurementIn order to obtain good high-frequency performance from an HBT, it is critical to makereliable, low resistance ohmic contacts to the active layers. The penetration depth of theconventional ohmic system Au/Ge/Ni after annealing is on the order of l000\u00E2\u0080\u00944000A inGaAs [66,67], which is not suitable for HBTs with thin base layers. HBTs also need abase metal system that can survive annealing and dielectric deposition/passivation withoutdegradation. The alternative system for contacting a thin layer is the TiJPtJAu system whichwrr\u00E2\u0080\u00A2\u00E2\u0080\u00A2\u00E2\u0080\u00A2\u00E2\u0080\u00A2_-Epitaxial Layer -- Mesa Edge%Z55i MetalFigure 5.48 Transmission line pattern used to experimentally determine the emitter, base and collector contact resistances.The area of each pad is 60 x 80cm2 and the separation between them starts at 2pm and increase in steps of 1pm.has a shallow penetration depth in InGaAs and low resistance ohmic contact, even withoutheat treatment [68]. We have found that alloying can lower the resistance of the contact, butit also degradates the performance of HBT.The basic technique used to measure the resistance of ohmic contacts employs a testpattern composed of differently spaced ohmic contacts [26,p. 234], as illustrated in Figure5.48. Ohmic contacts were formed on the InGaAs cap of the emitter, base and the subcollectorcollector layers and separated by a distance L. The contacts have a width W and the pattern isisolated to restrict the current to flow only across the distance L. The resistance between two80such contacts consists of the two contact resistances plus the resistance of the semiconductorlayer between the two contacts (see Figure 5.49). Hence, the total resistance is [68]RT = 2R +R2L (62)whereR is the resistance between the metal and an imaginary plane at the edge perpendicularto the metallization;R8h2 is the sheet resistance of the semiconductor layer between the two metal contacts;Figure 5.49 Equivalent resistor network representing the end effect and the contact resistance.The total resistance (RT) is measured experimentally for each value of spacing (L) andthen plotted on a linear scale graph. Figures 5.51-5.53 illustrate such graphs for the emitter,base and collector mesas. The slope of the line gives the value 4* and the intercept withthe R axis gives the value 2R.The results for non-alloyed contacts are presented in Table 5.12, using Figures 5.51 -5.53. As we can see, the contact resistances of the emitter and the collector metal contacts aresignificantly smaller than that of the base. This is mainly due to the fact that electrons haveRsh281higher mobility than the holes. As we can also see by comparing the results of non-alloyedFigure 5.50 Plot of total contact to contact resistance as a function of L to obtain transfer length and contact resistancevalues.and alloyed samples (see Tables 5.12 - 5.13), 30s alloying improves the results considerably.Although more data is necessary to reach a conclusive outcome, it appears that the optimumtemperature to alloy Ti/Pt/Au on InGaAs layers, is about 300\u00C2\u00B0 C. It was found that at analloying temperature of 350\u00C2\u00B0 C, the output characteristic of devices was affected severely(the output plot for 300\u00C2\u00B0C is not shown because no significant change was observed). Thiscan be seen from Figure 5.54. The breakdown voltage of InGaAs#2 has deteriorated, suchthat BVCBO is only about 3.5V. This also means that these devices cannot operate normallyat temperatures as high as 350\u00C2\u00B0C. The actual reason for this severe effect on the outputcharacteristic, as the temperature is increased, is not obvious yet. More experimental data isneeded to understand what is actually happening.Emitter Base CollectorRc [0] 0.43 35 0.32Rs2 [O/D] 15.2 1200 7.2Table 5.12 Summary of the resistance measurements of a non-alloyed contact of InGaAs#2.RTRSH2L+wL82Emitter Base CollectorRc [l] 0.21 9.88 0.25R5h2[cl/D] 16.8 1343 9.1Table 5.13 Summary of the resistance measurements of an alloyed (at temperature 300\u00C2\u00B0C) contact of InGaAs#2.Emitter Base CollectorRc [el] 0.28 33 0.30Rsh2 [11/0] 16.0 1530 8.64Table 5.14 Summary of the resistance measurements of an alloyed (at temperature 350\u00C2\u00B0C) contact of InGaAs#2.838.0\u00E2\u0080\u0094 Non-alloyed7.0 \u00E2\u0080\u0094 \u00E2\u0080\u0094 \u00E2\u0080\u0094 Alloyed at 300\u00C2\u00B0CTE0.0 5.0 10.0 15.0 20.0 25.0Pad Separation [j.tm]Figure 5.51 Resistance measurements data for the emitter contact (area = 60 x 80jim2).500 -\u00E2\u0080\u0094 Non-alloyed400 - \u00E2\u0080\u0094 \u00E2\u0080\u0094 \u00E2\u0080\u0094 Alloyed at 300\u00C2\u00B0C300-\u00E2\u0080\u0094 Alloyed at 350\u00C2\u00B0C200-1000 I I I0.0 5.0 10.0 15.0 20.0 25.0Pad Separation [jim]Figure 5.52 Resistance measurements data for the base contact (area = 60 x 80m2).845.0Non-alloyedi\u00C2\u00B0:zo%z0.0 5.0 10.0 15.0 20.0 25.0Pad Separation [jim]Figure 5.53 Resistance measurements data for the collector contact (area = 60 x 80m2).9.07.0-5.0-3.0- \u00E2\u0080\u0098o 1.0 --1.0- \u00E2\u0080\u0094-1.0 1.0 3.0 5.0 7.0Collector-Base Voltage [V]Figure 5.54 The measured common-base Ic vs VCB characteristics of InGaAs#2 HBT for the cases of alloyed andnon-alloyed contacts, for emitter area 40 x 40m2. The emitter current starts at OA and increases in steps of 2mA.855.2.4 Emitter Contact GeometriesReturning now to the unalloyed InGaAs#2 devices, it was mentioned in subsection 5.2.2that the experimental results show evidence of the base ideality factor sightly more thanunity. As it was explained, this could be due to recombination in the emitter-base depletionregion, at the emitter-base interface, or it could equally be due to surface recombinationat the surface of the base between the emitter stack and the base contact. As the lattermechanism is often important in A1GaAs/GaAs devices, some information on its relevanceto InPITnGaAs devices was sought here by performing measurements on devices with differentemitter contact geometries and different base-emitter spacing. Results are shown in Figures5.55 - 5.59. The emitter length/width dimensions in microns are given beside each datapoint. Except where noted, the emitter-base separation was 5tm. In all cases, currents wererecorded at Vbe = O.4V and Vb = OV, i.e., well-away from the region where high-levelinjection or series resistance effects may be important.To analyze the results, note that the total base current can be conveniently representedas the sum of the current in the intrinsic base-emitter junction \u00E2\u0080\u0098BE, and the current at theextrinsic surface \u00E2\u0080\u0098Bs. Dividing each of these by the emitter area gives a base current densityof= JBE +JBSLBE (63)where LBE is the emitter-base separation and WE is the emitter finger width. Note that theemitter finger length affects \u00E2\u0080\u0098BE and \u00E2\u0080\u0098Bs equally, and so does not appear in (63). In light of(63), changing the emitter area should only have an effect through the associated change inemitter width. Thus, the decreasing JB shown in Figure 5.58 does indicate the presence of8610120/8010\u00C2\u00B0p pj10 10Emitter length [tim]Figure 5.55 Dependence of collector and base current density on the emitter length in InGaAs#2.significant surface recombination current. Contrarily, changing the emitter width only, withthe emitter length held constant at 2Oim, appears to indicate otherwise, as the base currentis practically constant (see Figure 5.57). Further evidence that surface recombination is notsignificant comes from Figure 5.56, which shows a near-constant JB as LBE is increased.The interpretation of the results is further confused by the effect of changing the emitterlength LE. This should have no effect, but Figure 5.55 indicates otherwise. Clearly moredata is needed before the question of the importance of the surface recombination can beresolved. However, even though the current gain does increase slightly as the area/perimeterratio is increased (see Figure 5.59),it does appear that the surface recombination current isnot as prominent as in A1GaAs/GaAs HBTs, presumably because the surface recombinationvelocity of InGaAs is much lower than that of GaAs or A1GaAs [201.87101c.JE0()>%100.Ip pj101. II I3.0 3.5 4.0 4.5 5.0Emitter-Base Metal Separation [jim]Figure 5.56 Dependence of collector and base cuffent density on the base-emitter separation for devices with emitter area= 60 x 80m2.10120/600I40 20/80>C \u00E2\u0080\u009C-\u00E2\u0080\u0098U.1Cp pjD\u00E2\u0080\u0099101 I I40.0 50.0 60.0 70.0 80.0Emitter width [jim]Figure 5.57 Dependence of collector and base current density on the emitter width.8810110/10EC)> 80/80\u00C2\u00B0) 10\u00C2\u00B0-Cci)U.1Cc_)101102 i\u00C3\u00B3 ioEmitter Area [jim2]Figure 5.58 Dependence of collector and base current density on the emitter area.1021010.0 5.0 10.0 15.0 20.0Emitter Area to Periphery ratio [jim]Figure 5.59 The dc current gain at Vbe = O.4V vs emitter area to periphery ratio (In case of InGaAs#2).895.2.5 Model and Experimental ComparisonIn this section, the analytical model derived in Chapter 3 is used to simulate the Gummelplots of the InGaAs#2 and InP#2 HBTs with the layer specifications given in Tables 5.9 and5.10. Consider the Ebers-Moll equations, as derived for the emitter and the collector currentdensities in Chapters 3.JE A11 (exp () \u00E2\u0080\u0094 i) + A12 (exp () \u00E2\u0080\u0094 i) + (64)= A21 (exp (VBE) \u00E2\u0080\u0094 i) + A22 (exp (VBc) \u00E2\u0080\u0094 i) + JQ (65)JREB is the sum of the recombination current densities in the emitter-base SCR. So thebase current calculated from JB JE \u00E2\u0080\u0094 J includes both the recombination in the quasi-neutral region of the base and ,JREB The recombination/generation (J) current in thebase-collector SCR is usually small enough to be ignored. For the purpose of our simulationthis current was extracted from the measured Gummel plot and used in our simulation.As it can be seen from Figure 5.61, the Gummel plot of the InGaAs#2 device, thereis a large discrepancy between the measured and simulated data. The low collector-current ideality factor from the measured data suggests that the collector current is limitedby diffusion in the base layer. Whereas the model data suggests that it is limited bythermionic/tunneling across the E-B junction. As discussed in Section 5.2.2, the discrepancyis probably due to the fact that conduction across the emitter-base junction is influenced bythe presence of the spacer layer. The effect of the spacer layer can be taken into accountby assuming that its main influence on carrier transport is via a modification of the barrier90height /EUE (see Figure 5.60). The effect is exactly analogous to that developed in Section3.2.1 to model the reduction in the collector barrier height /Ec due to the presence of then InGaAs layer in the composite collector structure. Thus, with reference to Figure 5.60and from (38), the barrier height LEE is related to the spacer thickness 6 by= ZECE - q(VT - V3)= ECE \u00E2\u0080\u0094 q((k + XB6 \u00E2\u0080\u0094 Y62\u00E2\u0080\u009D (66)2 J 2sE )EcEvFigure 5.60 Schematic illustration of the emitter-base junction energy band profile after including a lightly-doped layerbetween the emitter and base layers.It can be seen that the spacer layer leads to a reduction in LEUE, and this lessens theimportance of the emitter-base barrier in determining the collector current.IIIIIIIIIInEEmitter BaseIIII91A further change made to the program was to allow for the voltage drops across the baseand emitter resistances (measured in Section 5.2.3) so that the computed plot could be basedon the terminal base-emitter voltage, and not just the intrinsic junction voltage. The valuesof RE and RB were 0.43 and 185 ohm (for an emitter area of 40 x 40jm2), respectively.It was assumed the values are true for both structures.The new simulated Gummel plots are shown in Figures 5.62 and 5.63 and show a muchimproved agreement between the measured and the computed values of the collector andbase current. Note that the presence of the spacer layer has lowered the barrier at theemitter-base junction to such a degree that the n-factor for the collector current is now 1.02.This is the same as for the measured current, and indicates that conduction in the deviceis now being conducted by transport through the base, rather than by transport across thejunction. The small deviation between the base current values at low bias suggests thepresence of an extra recombination current which has not been accounted for in our model.Recombination in the actual spacer layer is one possibility [691. Finally, we note that thegood fit to the InP#2 device has been achieved without having to invoke any modification tothe transport properties at the collector junction of the composite-collector structure. Recallthat our analysis of Section 3.2.1 indicated that the composite-collector layer should not notaffect the dc characteristics below breakdown so long as the lightly-doped InGaAs layerwas thicker than 700A. The actual thickness in InP#2 was 900A so the result gives someconfidence in the analysis presented in Section 3.2.1.9212 0 Measured dataModel Data1o0,0I\u00E2\u0080\u0099,\u00E2\u0080\u009D,Vbe [V]Figure 5.61 Comparison of experimental and analytical model data for the Gummel Plot of InGaAs#2 HBT (emitter area40 x 4Om2)at Vcb=OV. The effect of spacer and parasitic resistances are not modeled.1 O20 Measured DataModel DataVbe [V]Figure 5.62 Comparison of experimental and analytical model data for the Gummel Plot of InGaAs#2 HBT (emitter area40 x 40m2)at Vcb=OV, taking into account the effect of the emitter-base spacer layer and parasitic resistances.931 02 0 ooc:oo:yDVbe [\u00E2\u0080\u0098]Figure 5.63 Comparison of experimental and analytical model data for the Gummel Plot of InP#2 HBT (emitter area40 x 40zm2)at Vcb=3.OV, taking into account the effect of the emitter-base spacer layer and parasitic resistances.94Chapter 6Conclusion and Recommendation for Future Research6.1 ConclusionLarge-area InP/InGaAs/InGaAs HBTs using MOCVD-grown layers on InP substrateshave been fabricated by a non-self-aligned technology. The effect of including a widebandgap InP layer in the collector has been investigated both experimentally and theoretically.The conclusions that can be drawn from this work are as follows.1. The presence of a wide-bandgap InP layer in the composite-collector devices gives a significant improvement (2\u00E2\u0080\u00943 times) in the common-emitter and common-base breakdownvoltages. This may prove useful for employment of HBTs in power applications.2. The dc Gummel plots and the SIMS profiles of the SHBTs and CCHBTs indicate thatthe 100A-thick spacer layer at the E-B junction is sufficient to prevent any out-diffusionof Zn atoms (for a base doping of 1O\u00E2\u0080\u00999cm3)into the wide-bandgap emitter. Thepresence of this spacer affects the junction height and, therefore, the collector current.3. Both structures demonstrate an adequate dc current gain even at a collector current aslow as 1tA (15 and 20 for the SHBT and CCITBT, respectively). The slight difference ingain can be attributed to the fact that the ratio of the emitter to base doping concentrationfor the CCHBT is slightly larger than for the SHBT.4. The use of Ti/Pt/Au as a metal contact appears to be effective in improving the ohmiccontact to both n- and p-type In-based material, even when it is not alloyed at high95temperature. The optimum alloying temperature is suggested to be 300\u00C2\u00B0C, as opposedto 350\u00C2\u00B0C as used commonly for GaAs devices.5. A model has been developed for the CCHBT which appears to satisfactorily predict thebelow-beakdown behavior of both the collector and the base current of both fabricatedstructures. The model suggests that, for the CCHBT devices studied, the thickness of thenarrow bandgap layer in the composite-collector structure should be greater than 700A.In addition, from various comparisons of the model results and the experimental data,the major effect of the spacer layer on transport in HBTs has been demonstrated.6.2 Recommendation for Future ResearchNow that large-area HBT fabrication and modeling capabilities have been established.it is proposed that the next phase of the joint UBC/NRC project be the development of afabrication procedure to make small-area devices for high frequency applications. This wouldbe particularly interesting to see whether any compromise needs to be reached in meetingthe design requirements for high gain, high breakdown voltage and high speed in CCHBTs,such as would be necessary, for example, in the integration of HBTs and a laser on the samesubstrate to form a monolithically-integrated laser transmitter circuit.The inclusion of impact ionization breakdown in the model is a step worth pursuing.To do this, it will be necessary to make some improvement to the classical depletionapproximation. Neglect of mobile charge carriers in the space charge regions at high currentlevels in the SCR of the collector may overestimate the electric field and so lead to largeerrors in the estimation of the breakdown voltage.96Bibliography[1] J.Bardeen and W.H.Brattain, \u00E2\u0080\u009CThe Transistor, a Semiconductor Triode,\u00E2\u0080\u009D Phys. Rev.,vol. 74, p. 435, 1948.[2] W.Shockley, \u00E2\u0080\u009CU.s. patent, 2569347,\u00E2\u0080\u009D 1951.[3] H.Kroemer, \u00E2\u0080\u009CTheory of Wide-Gap Emitter for Transistors,\u00E2\u0080\u009D Proc. IRE., vol. 45,pp. 1535\u00E2\u0080\u00941537, 1957.[4] H.Kroemer, \u00E2\u0080\u009CHeterojunction Bipolar Transistor and Integrated Circuits,\u00E2\u0080\u009D Proc. IEEE,vol. 70, pp. 13\u00E2\u0080\u009425, 1982.[5] H.Kroemer, \u00E2\u0080\u009CHeterostructure Bipolar Transistors: What Should We Build?,\u00E2\u0080\u009D Vac. Sci.Tech., vol. Bi, no. 2, pp. 126\u00E2\u0080\u0094130, 1980.[6] R.A.Anderson, \u00E2\u0080\u009CExperiments on Ge-GaAs Heterojunctions,\u00E2\u0080\u009D Solid State Electron.,vol. 5, pp. 341\u00E2\u0080\u009435 1, 1962.[7] J.Shewchun and L.Y.Wei, \u00E2\u0080\u009CGermanium-Silicon Alloy Heterojunction,\u00E2\u0080\u009D J. Electrochem.Soc., vol. 111, pp. 1145\u00E2\u0080\u00941149, 1964.[8] R.D.Dupuis, L.A.Moudy, and P.D.Dapkus, \u00E2\u0080\u009CPreparation and Properties of GaA1As/GaAsHeterojunctions Grown by Metalorganic Chemical Vapour Deposition,\u00E2\u0080\u009D mt. Sym. onGaAs and Related Compounds, pp. 1\u00E2\u0080\u00949, 1978.[9] A.Y.Cho and J.R.Artur, \u00E2\u0080\u009CMolecular Beam Epitaxy,\u00E2\u0080\u009D Frog. Solid State Chem., vol. 10,pp. 157\u00E2\u0080\u0094191, 1975.[10] T.Mimura, S.Higmizu, T.Fuji, and K.Nanbu, \u00E2\u0080\u009CA New Field Effect Transistor withSelective Doped GaAs/n-A1GaAs Heterojunctions,\u00E2\u0080\u009D Japanese Journal of AppliedPhysics, vol. 19, pp. L225\u00E2\u0080\u0094L227, 1980.[11] R.N.Nottenburg, J.C.Bischoff, and J.H.Abeles, \u00E2\u0080\u009CBase Doping Effects in InGaAs/InPDouble Heterojunction Bipolar Transistors,\u00E2\u0080\u009D Tech. Dig. IEEE IEDM, p. 278, 1989.[12] W.Lee and C.Fonstad,\u00E2\u0080\u009C1n052Al48s/1n3Ga7IsAbrupt DoubleHeterojunction Bipolar Transistor,\u00E2\u0080\u009D IEEE Elect. Dev. Lett., vol. 12, no. 7, pp. 683\u00E2\u0080\u009497685, 1986.[13] T.Miya, Y.Terunama, T.Hosaka, and T.Miyashitta, \u00E2\u0080\u009CUltimate Low-Loss Single-ModeFibre at 1.55tm,\u00E2\u0080\u009D Electron. Lett., vol. 15, p. 106, 1976.[14] R.N.Nottenburg, Y.K.Chen, M.B.Panish, D.A.Humphrey, and R.Hamm, \u00E2\u0080\u009CHot-electronInGaAs/InP Heterojunction Bipolar Transistors with of 110GHz,\u00E2\u0080\u009D IEEE EDL, vol. 10,no. 1, pp. 30\u00E2\u0080\u009432, 1989.[15] C.S.Kyono, N.D.Gerrard, C.J.Pinzone, C.M.Maziar, and R.D.Dupuis, \u00E2\u0080\u009CVery HighCurrent Gain InGaAs/InP Heterojunction Bipolar Transistors Grown by MetaloganicChemical Vapor Deposition,\u00E2\u0080\u009D Electron. Lett., vol. 27, no. 1, pp. 40\u00E2\u0080\u009441, 1991.[16] C.F.Farley, M.F.Chang, P.M.Asbeck, N.H.Sheng, R.Pierson, G.J.Sullivan, K.C.Wang,and R.B.Nubling, \u00E2\u0080\u009CHigh Speed (ft=78GHz) AlInAs/GaInAs Single HeterojunctionHBT,\u00E2\u0080\u009D Electron. Lett., vol. 25, no. 13, pp. 845\u00E2\u0080\u0094847, 1989.[17] C.K.Peng, T.Won, C.W.Litton, and H.Morkoc, \u00E2\u0080\u009CA High Performance InGaAs/InAlAsDouble Heterojunction Bipolar Transistor with Non-alloyed n+ InAs layers on InP(n)grown by MBE,\u00E2\u0080\u009D IEEE EDL, vol. 9, no. 7, pp. 33 1\u00E2\u0080\u0094333, 1988.[18] B.Jalali, R.N.Nottenburg, M.Banu, and R.K.Montgomery, \u00E2\u0080\u009CHigh Speed InGaAs HBTDevices and Circuits,\u00E2\u0080\u009D in Proc. mt. Conf InP and Related Mterials, pp. 228\u00E2\u0080\u0094233, 1992.[19] C.M.Maziar, M.H.Sommerville, and C.S.Kyono, \u00E2\u0080\u009CExtended Velocity in InGaAs Collector for High Speed Heterojunction Bipolar Transistors,\u00E2\u0080\u009D SPIE, High-Speed Electronicsand Devices Scaling, vol. 1288, pp. 69\u00E2\u0080\u009477, 1990.[20] R.N.Nottenburg, Y.K.Chen, M.B .Panish, R.Hamm, and D.A.Humphrey, \u00E2\u0080\u009CHigh-CurrentGain Submicrometer InGaAs/InP Heterojunction Bipolar Transistors,\u00E2\u0080\u009D IEEE EDL, vol. 9,no. 10, pp. 524\u00E2\u0080\u0094526, 1988.[21] Y.Ota, T.Hirose, M.Yanagihara, A.Ryoji, T.Kato, and M.Inada, \u00E2\u0080\u009CA1GaAs/GaAs HBTwith GaInAs Cap Layer Fabricated By Multiple-Self-Alignment Process Using OneMask,\u00E2\u0080\u009D Electron. Lett., vol. 25, no. 9, pp. 610\u00E2\u0080\u0094612, 1989.[22] H.F.Cook, \u00E2\u0080\u009CMicrowave Transistors: Theory and Design,\u00E2\u0080\u009D Proc. IEEE, vol. 59, pp. 1163\u00E2\u0080\u00941181, Aug. 1971.98[23] C.M.Maziar, M.E.Klausmeier-Brown, and M.S.Lundstorm, \u00E2\u0080\u009CA Proposed Structure forCollector Transit-Time Reduction in A1GaAs/GaAs Bipolar Transistors,\u00E2\u0080\u009D IEEE EDL.,vol. 7, no. 8, pp. 483\u00E2\u0080\u0094485, 1986.[24] T.Ishibashi and Y.Yamauchi, \u00E2\u0080\u009CA Possible Near-Ballistic Collector in An A1GaAs/GaAsHBT with a Modified Collector Structure,\u00E2\u0080\u009D IEEE ED., vol. 35, no.4, pp. 401\u00E2\u0080\u0094404, 1988.[25] S.C.M.Ho, \u00E2\u0080\u009CThe Effect of Base Grading on the Gain and High Frequency Performanceof A1GaAs/GaAs Heterojunction Bipolar Transistors.\u00E2\u0080\u009D M.A.Sc. thesis, The Universityof British Columbia, Vancouver, Canada, 1989.[26] R.Williams, Modern GaAs Processing Methods. Artech House Inc. Norewood, MA,1990.[27] M.Parrilla, D.Newson, D.Skellern, and M.MaCbean, \u00E2\u0080\u009CModeling, Design and Performance of InP/InGaAs Double-Heterojunction Bipolar Transistors,\u00E2\u0080\u009D in Proc. mt. ConfInP and Related Materials, p. 414, 1992.[28] Z.E.Abid, W.R.McKinnon, S.P.McAlister, and M.Davies, \u00E2\u0080\u009CInP Double HeterstructureBipolar Transistors with A Quaternary Collector for Improved Breakdown Behavior,\u00E2\u0080\u009Din Proc. mt. Conf InP and Related Mterials, pp. 432\u00E2\u0080\u0094434, 1993.[29] B.Jalali, Y.K.Chen, R.N.Nottenburg, D.Sivco, D.A.Humphrey, and A.Y.Cho, \u00E2\u0080\u009CInfluenceof Base Thickness on Collector Breakdown in Abrupt AlInAs/InGaAs HeterojunctionBipolar Transistors,\u00E2\u0080\u009D IEEE EDL., vol. 11, no. 9, pp. 400\u00E2\u0080\u0094402, 1990.[30] B.Jalali, R.N.Nottenburg, A.F.J.Levi, R.A.Hamm, M.B.Panish, D.Sivco, and A.Y.Cho,\u00E2\u0080\u009CBase Doping Limits in Heterostructure Bipolar Transistors,\u00E2\u0080\u009D Appi. Phys. Lett., vol. 56,pp. 1460\u00E2\u0080\u00941462, 1990.[31] T.Kobayashi and K.Kurishima, \u00E2\u0080\u009CSuppression of Abnormal Zn Diffusion in InPIInGaAsHeterojunction Bipolar Transistor Structures,\u00E2\u0080\u009D Appi. Phys. Lett., vol. 62, no. 3, pp. 284\u00E2\u0080\u0094285, 1993.[32] K. Kurishima, T.Makimoto, T. Kobayashi, and T.Ishibashi, \u00E2\u0080\u009CInPfInGaAs HeterostructureBipolar Transistors Grown at Low Temperature by Metalorganic Chemical VaporDeposition,\u00E2\u0080\u009D Jap. Jou. of Appl. Phys., vol. 30, no. 2B, pp. L258\u00E2\u0080\u0094L261, 1991.99[33] K. Kurishima, T. Kobayashi, and U. Gosele, \u00E2\u0080\u009CAbnormal Redistribution of Zn inInPfJnGaAs Heterojunction Bipolar Transistor Structures,\u00E2\u0080\u009D Appi. Phys. Lett., vol. 60,no. 20, pp. 2496\u00E2\u0080\u00942498, 1992.[34] H.-F. Chau, J.Hu, D.Pavlidis, and K.Tomizawa, \u00E2\u0080\u009CBreakdown-Speed Considerations inA1GaAs/GaAs Heterojunction Bipolar Transistor with Special Collector Designs,\u00E2\u0080\u009D IEEETrans. ED, vol. 39, no. 12, 1992.[35] H.F.Chau and E.A.Beam, \u00E2\u0080\u009CHigh-Speed InPIInGaAs Heterojunction Bipolar Transistors,\u00E2\u0080\u009DIEEE EDL., vol. 14, no. 8, pp. 388\u00E2\u0080\u0094390, 1993.[36] A.W.Hanson, S.A.Stockman, and G.E.Stillman, \u00E2\u0080\u009CComparison of InGaP/GaAs Single-and Double Heterojunction Bipolar Transistors with a Carbon-Doped Base,\u00E2\u0080\u009D IEEE EDL.,vol. 14, no. 1, pp. 25\u00E2\u0080\u009428, 1993.[37] J.-L. Pelouard, P.Hesto, J-P.Praseuth, and L.Goldstein, \u00E2\u0080\u009CDouble-Heterojunction GaAlInAs/GaInAs Bipolar Transistor Grown by Molecular Beam Epitaxy,\u00E2\u0080\u009D IEEE EDL., vol. 7,no. 9, pp. 516\u00E2\u0080\u0094518, 1986.[38] E.Tokumitsu, A.G.Dentai, C.H.Joyner, and S.Chandrasekhar, \u00E2\u0080\u009CInP/InGaAs DoubleHeterojunction Bipolar Transistors grown by Metalorganic Vapor Phase Epitaxy withSulfur Delta Doping in the Collector Region,\u00E2\u0080\u009D Appl. Phys. Lett., vol. 57, no. 26,pp. 2841\u00E2\u0080\u00942843, 1990.[39] A.Feygenson, D.Ritter, R.A.Hamm, P.R.Smith, R.K.Montgomery, R.D.Yadvish,H.Temkin, and M.B.Panish, \u00E2\u0080\u009CInGaAs/InP Composite-Collector Heterojunction Bipolar Transistors,\u00E2\u0080\u009D Electron. Lett.,, vol. 28, no. 7, pp. 607\u00E2\u0080\u0094609, 1992.[40] A.Feygenson, R.A.Hamm, D.Ritter, P.R.Smith, R.K.Montgomery, R.D.Yadvish, andH.Temkin, \u00E2\u0080\u009CHigh Speed InGaAs/InP Composite Collector Bipolar Transistors,\u00E2\u0080\u009D in Proc.mt. Conf DRC, 1992.[41] A.Feygenson, R.A.Hamm, P.R.Smith, M.R.Pinto, R.K.Montgomery, R.D.Yadvish, andH.Temkin, \u00E2\u0080\u009CA 144GHz InP/InGaAs Composite Collector Heterojunction Bipolar Transistor,\u00E2\u0080\u009D in IEDM, 1992.[42] D.Ritter, R.A.Hamm, A.Feygenson, H.Temkin, and M.B.Panish, \u00E2\u0080\u009CBistable Hot ElectronTransport in InP/GaInAs Composite Collector Heterojunction Bipolar Transistors,\u00E2\u0080\u009D Appl.100Phys. Lett., vol. 61, no. 1, pp. 70\u00E2\u0080\u009472, 1992.[43] A.Feygenson, R.K.Montgomery, P.R.Smith, R.A.Hamm, M.Haner, R.D.Yadvish, andM.B.Panish, \u00E2\u0080\u009CInP/GaInAs Composite Collector Heterojunction Bipolar Transistors andCircuits,\u00E2\u0080\u009D in Proc. mt. Conf InP and Related Materials, pp. 572\u00E2\u0080\u0094575, 1993.[44] S.C.M.Ho and D.L.Pulfrey, \u00E2\u0080\u009CThe Effect of Base Grading on the Gain and HighFrequency Performance of A1GaAs/GaAs Heterojunction Bipolar Transistors,\u00E2\u0080\u009D IEEETrans. ED, vol. 36, p. 2173, 1989.[45] A.A.Grinberg, M.S.Shur, R.J.Fischer, and H.Morkoc, \u00E2\u0080\u009CAn Investigation of the Effectof Graded-Layers and Tunneling on the Performance of A1GaAs/GaAs HeterojunctionBipolar Transistors,\u00E2\u0080\u009D IEEE ED., vol. 31, pp. 1758\u00E2\u0080\u00941765, 1984.[46] O.S.Ang and D.L.Pulfrey, \u00E2\u0080\u009CThe cut-off frequency of base-graded and junction-gradedAlGaAs DHBTs,\u00E2\u0080\u009D Solid-State Electronic, vol. 34, pp. 1325\u00E2\u0080\u00941328, 1992.[47] M.S.Lundstorm, \u00E2\u0080\u009CAn Ebers-Moll Model for the Structure Bipolar Transistor,\u00E2\u0080\u009D Solid-State Electronics, vol. 26, p. 1173, 1986.[48] S.O.Ang, \u00E2\u0080\u009CDouble Heterojunction Bipolar Transistor Model.\u00E2\u0080\u009D M.A.Sc. thesis, TheUniversity of British Columbia, Vancouver, Canada, 1991.[49] A.St.Denis, D.L.Pulfrey, and A.Marty, \u00E2\u0080\u009CReciprocity in Heterojunction Bipolar Transistors,\u00E2\u0080\u009D Solid-State Electronics, vol. 35, no. 11, pp. 1633\u00E2\u0080\u00941637, 1993.[50] D.L.Pulfrey and S.Searles, \u00E2\u0080\u009CElectron Quasi-Fermi Level Splitting at the Base-EmitterJunction of AlGaAs/GaAs HBT\u00E2\u0080\u0099s,\u00E2\u0080\u009D IEEE ED., vol. 40, no. 6, pp. 1183\u00E2\u0080\u00941185, 1993.[51] S.Searles and D.L.Pulfrey, \u00E2\u0080\u009CAn Analysis of Space-Charge-Region Recombination inHBTs,\u00E2\u0080\u009D IEEE ED., submitted for publication.[52] S.Chandrasekhar, B.C.Johnson, E.Tokumitsu, A.G.Dentai, C.H.Joyner, A.H.Gnauck,J.S.Perino, and G.J.Qua, \u00E2\u0080\u009CA Monolithic Long Wavelength Photoreceiver Using Heterojunction Bipolar Transistors,\u00E2\u0080\u009D IEEE QE., vol. 27, no. 3, p. 773, 1991.[53] Q.Z.Liu, D.L.Pulfrey, and M.K.Jackson, \u00E2\u0080\u009CAnalysis of the Transistor-Related Noise inIntegrated pin-I{BT Optical Receiver Front Ends,\u00E2\u0080\u009D IEEE ED., to appear December 1993.101[54] S.Adachi, \u00E2\u0080\u009CMaterial Parameters of InGaAsP and Related Binaries,\u00E2\u0080\u009D J. Appl. Phys.,vol. 53, pp. 8775\u00E2\u0080\u00948792, Dec. 1982.[55] T.P.Pearsall, GaInAsP Alloy Semiconductors. J.Wiley, 1982.[56] M.S.Lundstrom, M.E.Klausmeier-Brown and M.R.Melloch and R.K.Ahrenkiel andB.M.Keyes, \u00E2\u0080\u009CDevice-Related Material Properties of Heavily Doped Gallium Arsenide,\u00E2\u0080\u009DSolid-State Electronics, vol. 33, no. 6, pp. 693\u00E2\u0080\u0094704, 1990.[57] N.K.Dutta and R.J.Nelson, \u00E2\u0080\u009CThe Case for Auger Recombination in InGaAsP,\u00E2\u0080\u009D J. Appl.Phys., vol. 53, no. 1, pp. 74\u00E2\u0080\u009492, 1982.[58] L.Davis and Y.Lam and D.Nichols amd J.Singh and P.K.Bhattacharya, \u00E2\u0080\u009CAuger Re-combination Rates in Compressively Strained InGai...As/InGaAspIInP (0.53x0.73)Multiquantum Well Lasers,\u00E2\u0080\u009D IEEE PTL,, vol. 5, no. 2, pp. 1163\u00E2\u0080\u00941181, 1993.[59] Y.P.Varshni, \u00E2\u0080\u009CBand-to-Band Radiative Recombination in Groups IV, VI, and ffl-VSemiconductors (I),\u00E2\u0080\u009D Phys. Stat. Sol., vol. 19, pp. 459\u00E2\u0080\u0094514, 1967.[60] A.P.Heberle, U.Strauss, W.W.Ruhle, K.H.Bachem, T.Lauterbach, and N.Haegle,\u00E2\u0080\u009CMinority-carrier lifetime in heavily doped GaAs:C,\u00E2\u0080\u009D Jap. J. Appl. Phys., Part], no. 1B,pp. 495\u00E2\u0080\u0094497, 1993.[61] D.R.Wright, P.E.Oliver, T.Prentice, and V.W.Steward, \u00E2\u0080\u009CDiffusion Length in p-TypeMOCVD GaAs,\u00E2\u0080\u009D J. Ciystal Growth, vol. 55, pp. 183\u00E2\u0080\u0094191, Oct. 1981.[62] D.G.Deppe, \u00E2\u0080\u009CThermodynamic Explanation to the Enhanced Diffusion of Base Dopant inA1GaAs-GaAs npn Bipolar Transistors,\u00E2\u0080\u009D Appi. Phys. Lett., vol. 56, no. 4, pp. 370\u00E2\u0080\u0094372,1990.[63] K.-H.Hellwege, ed., Landolt-Bornstein \u00E2\u0080\u009CNumerical Data and Functional Relationshipsin Science and Technology\u00E2\u0080\u009D, vol. 17A. Springer-Verlag, 1982.[64] M.S.Lundstrom, \u00E2\u0080\u009CAn Ebers-Moll Model For Heterojunction Semiconductor Devices,\u00E2\u0080\u009DSolid-State Electronics, vol. 29, no. 11, pp. 1173\u00E2\u0080\u00941179, 1986.[65] J.Feng, M.A.Sc thesis, The University of British Columbia, Vancouver, Canada,. toappear in 1993.102[66] J.R.Shappirio, R.T.Lareau, R.A.Lux, J.J.Finnegan, D.D.Smith, L.S.Heath, andM.Taysing-Lara, \u00E2\u0080\u009CMetal Penetration and Dopant Redistribution Beneath Alloyed OhmicContacts to n-GaAs,\u00E2\u0080\u009D J. Vac. Sci. Tech., vol. A 5, no. 4, pp. 1503\u00E2\u0080\u00941507, 1987.[67] M. Ogawa, \u00E2\u0080\u009CAlloying Behavior of Ni/Au-Ge Films on GaAs,\u00E2\u0080\u009D J. Appi. Phys., vol. 51,no. 1, pp. 406\u00E2\u0080\u0094412, 1980.[68] \u00E2\u0080\u009CPrivate communication with Dr. Z.Abid at NRC.\u00E2\u0080\u009D[69] H.Ito, \u00E2\u0080\u009CGeneration-Recombination Current in the Emitter-Base Junction of AlGaAs/GaAs HBTs,\u00E2\u0080\u009D Jap. J. ofAppi. Phys., vol. 25, no. 9, pp. 1400\u00E2\u0080\u00941404, 1986.103"@en . "Thesis/Dissertation"@en . "1994-05"@en . "10.14288/1.0065280"@en . "eng"@en . "Electrical and Computer Engineering"@en . "Vancouver : University of British Columbia Library"@en . "University of British Columbia"@en . "For non-commercial purposes only, such as research, private study and education. Additional conditions apply, see Terms of Use https://open.library.ubc.ca/terms_of_use."@en . "Graduate"@en . "Fabrication and modeling of composite-collector heterojunction bipolar transistors"@en . "Text"@en . "http://hdl.handle.net/2429/4997"@en .